公務(wù)員期刊網(wǎng) 精選范文 集成電路布圖設(shè)計(jì)范文

集成電路布圖設(shè)計(jì)精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的集成電路布圖設(shè)計(jì)主題范文,僅供參考,歡迎閱讀并收藏。

集成電路布圖設(shè)計(jì)

第1篇:集成電路布圖設(shè)計(jì)范文

第一條為了保護(hù)集成電路布圖設(shè)計(jì)專有權(quán),鼓勵(lì)集成電路技術(shù)的創(chuàng)新,促進(jìn)科學(xué)技術(shù)的發(fā)展,制定本條例。

第二條本條例下列用語(yǔ)的含義:

(一)集成電路,是指半導(dǎo)體集成電路,即以半導(dǎo)體材料為基片,將至少有一個(gè)是有源元件的兩個(gè)以上元件和部分或者全部互連線路集成在基片之中或者基片之上,以執(zhí)行某種電子功能的中間產(chǎn)品或者最終產(chǎn)品;

(二)集成電路布圖設(shè)計(jì)(以下簡(jiǎn)稱布圖設(shè)計(jì)),是指集成電路中至少有一個(gè)是有源元件的兩個(gè)以上元件和部分或者全部互連線路的三維配置,或者為制造集成電路而準(zhǔn)備的上述三維配置;

(三)布圖設(shè)計(jì)權(quán)利人,是指依照本條例的規(guī)定,對(duì)布圖設(shè)計(jì)享有專有權(quán)的自然人、法人或者其他組織;

(四)復(fù)制,是指重復(fù)制作布圖設(shè)計(jì)或者含有該布圖設(shè)計(jì)的集成電路的行為;

(五)商業(yè)利用,是指為商業(yè)目的進(jìn)口、銷售或者以其他方式提供受保護(hù)的布圖設(shè)計(jì)、含有該布圖設(shè)計(jì)的集成電路或者含有該集成電路的物品的行為。

第三條中國(guó)自然人、法人或者其他組織創(chuàng)作的布圖設(shè)計(jì),依照本條例享有布圖設(shè)計(jì)專有權(quán)。

外國(guó)人創(chuàng)作的布圖設(shè)計(jì)首先在中國(guó)境內(nèi)投入商業(yè)利用的,依照本條例享有布圖設(shè)計(jì)專有權(quán)。

外國(guó)人創(chuàng)作的布圖設(shè)計(jì),其創(chuàng)作者所屬國(guó)同中國(guó)簽訂有關(guān)布圖設(shè)計(jì)保護(hù)協(xié)議或者與中國(guó)共同參加有關(guān)布圖設(shè)計(jì)保護(hù)國(guó)際條約的,依照本條例享有布圖設(shè)計(jì)專有權(quán)。

第四條受保護(hù)的布圖設(shè)計(jì)應(yīng)當(dāng)具有獨(dú)創(chuàng)性,即該布圖設(shè)計(jì)是創(chuàng)作者自己的智力勞動(dòng)成果,并且在其創(chuàng)作時(shí)該布圖設(shè)計(jì)在布圖設(shè)計(jì)創(chuàng)作者和集成電路制造者中不是公認(rèn)的常規(guī)設(shè)計(jì)。

受保護(hù)的由常規(guī)設(shè)計(jì)組成的布圖設(shè)計(jì),其組合作為整體應(yīng)當(dāng)符合前款規(guī)定的條件。

第五條本條例對(duì)布圖設(shè)計(jì)的保護(hù),不延及思想、處理過(guò)程、操作方法或者數(shù)學(xué)概念等。

第六條國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門依照本條例的規(guī)定,負(fù)責(zé)布圖設(shè)計(jì)專有權(quán)的有關(guān)管理工作。

第二章布圖設(shè)計(jì)專有權(quán)

第七條布圖設(shè)計(jì)權(quán)利人享有下列專有權(quán):

(一)對(duì)受保護(hù)的布圖設(shè)計(jì)的全部或者其中任何具有獨(dú)創(chuàng)性的部分進(jìn)行復(fù)制;

(二)將受保護(hù)的布圖設(shè)計(jì)、含有該布圖設(shè)計(jì)的集成電路或者含有該集成電路的物品投入商業(yè)利用。

第八條布圖設(shè)計(jì)專有權(quán)經(jīng)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門登記產(chǎn)生。

未經(jīng)登記的布圖設(shè)計(jì)不受本條例保護(hù)。

第九條布圖設(shè)計(jì)專有權(quán)屬于布圖設(shè)計(jì)創(chuàng)作者,本條例另有規(guī)定的除外。

由法人或者其他組織主持,依據(jù)法人或者其他組織的意志而創(chuàng)作,并由法人或者其他組織承擔(dān)責(zé)任的布圖設(shè)計(jì),該法人或者其他組織是創(chuàng)作者。

由自然人創(chuàng)作的布圖設(shè)計(jì),該自然人是創(chuàng)作者。

第十條兩個(gè)以上自然人、法人或者其他組織合作創(chuàng)作的布圖設(shè)計(jì),其專有權(quán)的歸屬由合作者約定;未作約定或者約定不明的,其專有權(quán)由合作者共同享有。

第十一條受委托創(chuàng)作的布圖設(shè)計(jì),其專有權(quán)的歸屬由委托人和受托人雙方約定;未作約定或者約定不明的,其專有權(quán)由受托人享有。

第十二條布圖設(shè)計(jì)專有權(quán)的保護(hù)期為10年,自布圖設(shè)計(jì)登記申請(qǐng)之日或者在世界任何地方首次投入商業(yè)利用之日起計(jì)算,以較前日期為準(zhǔn)。但是,無(wú)論是否登記或者投入商業(yè)利用,布圖設(shè)計(jì)自創(chuàng)作完成之日起15年后,不再受本條例保護(hù)。

第十三條布圖設(shè)計(jì)專有權(quán)屬于自然人的,該自然人死亡后,其專有權(quán)在本條例規(guī)定的保護(hù)期內(nèi)依照繼承法的規(guī)定轉(zhuǎn)移。

布圖設(shè)計(jì)專有權(quán)屬于法人或者其他組織的,法人或者其他組織變更、終止后,其專有權(quán)在本條例規(guī)定的保護(hù)期內(nèi)由承繼其權(quán)利、義務(wù)的法人或者其他組織享有;沒有承繼其權(quán)利、義務(wù)的法人或者其他組織的,該布圖設(shè)計(jì)進(jìn)入公有領(lǐng)域。

第三章布圖設(shè)計(jì)的登記

第十四條國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門負(fù)責(zé)布圖設(shè)計(jì)登記工作,受理布圖設(shè)計(jì)登記申請(qǐng)。

第十五條申請(qǐng)登記的布圖設(shè)計(jì)涉及國(guó)家安全或者重大利益,需要保密的,按照國(guó)家有關(guān)規(guī)定辦理。

第十六條申請(qǐng)布圖設(shè)計(jì)登記,應(yīng)當(dāng)提交:

(一)布圖設(shè)計(jì)登記申請(qǐng)表;

(二)布圖設(shè)計(jì)的復(fù)制件或者圖樣;

(三)布圖設(shè)計(jì)已投入商業(yè)利用的,提交含有該布圖設(shè)計(jì)的集成電路樣品;

(四)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門規(guī)定的其他材料。

第十七條布圖設(shè)計(jì)自其在世界任何地方首次商業(yè)利用之日起2年內(nèi),未向國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門提出登記申請(qǐng)的,國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門不再予以登記。

第十八條布圖設(shè)計(jì)登記申請(qǐng)經(jīng)初步審查,未發(fā)現(xiàn)駁回理由的,由國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門予以登記,發(fā)給登記證明文件,并予以公告。

第十九條布圖設(shè)計(jì)登記申請(qǐng)人對(duì)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門駁回其登記申請(qǐng)的決定不服的,可以自收到通知之日起3個(gè)月內(nèi),向國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門請(qǐng)求復(fù)審。國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門復(fù)審后,作出決定,并通知布圖設(shè)計(jì)登記申請(qǐng)人。布圖設(shè)計(jì)登記申請(qǐng)人對(duì)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門的復(fù)審決定仍不服的,可以自收到通知之日起3個(gè)月內(nèi)向人民法院。

第二十條布圖設(shè)計(jì)獲準(zhǔn)登記后,國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門發(fā)現(xiàn)該登記不符合本條例規(guī)定的,應(yīng)當(dāng)予以撤銷,通知布圖設(shè)計(jì)權(quán)利人,并予以公告。布圖設(shè)計(jì)權(quán)利人對(duì)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門撤銷布圖設(shè)計(jì)登記的決定不服的,可以自收到通知之日起3個(gè)月內(nèi)向人民法院。

第二十一條在布圖設(shè)計(jì)登記公告前,國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門的工作人員對(duì)其內(nèi)容負(fù)有保密義務(wù)。

第四章布圖設(shè)計(jì)專有權(quán)的行使

第二十二條布圖設(shè)計(jì)權(quán)利人可以將其專有權(quán)轉(zhuǎn)讓或者許可他人使用其布圖設(shè)計(jì)。

轉(zhuǎn)讓布圖設(shè)計(jì)專有權(quán)的,當(dāng)事人應(yīng)當(dāng)訂立書面合同,并向國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門登記,由國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門予以公告。布圖設(shè)計(jì)專有權(quán)的轉(zhuǎn)讓自登記之日起生效。許可他人使用其布圖設(shè)計(jì)的,當(dāng)事人應(yīng)當(dāng)訂立書面合同。

第二十三條下列行為可以不經(jīng)布圖設(shè)計(jì)權(quán)利人許可,不向其支付報(bào)酬:

(一)為個(gè)人目的或者單純?yōu)樵u(píng)價(jià)、分析、研究、教學(xué)等目的而復(fù)制受保護(hù)的布圖設(shè)計(jì)的;

(二)在依據(jù)前項(xiàng)評(píng)價(jià)、分析受保護(hù)的布圖設(shè)計(jì)的基礎(chǔ)上,創(chuàng)作出具有獨(dú)創(chuàng)性的布圖設(shè)計(jì)的;

(三)對(duì)自己獨(dú)立創(chuàng)作的與他人相同的布圖設(shè)計(jì)進(jìn)行復(fù)制或者將其投入商業(yè)利用的。

第二十四條受保護(hù)的布圖設(shè)計(jì)、含有該布圖設(shè)計(jì)的集成電路或者含有該集成電路的物品,由布圖設(shè)計(jì)權(quán)利人或者經(jīng)其許可投放市場(chǎng)后,他人再次商業(yè)利用的,可以不經(jīng)布圖設(shè)計(jì)權(quán)利人許可,并不向其支付報(bào)酬。

第二十五條在國(guó)家出現(xiàn)緊急狀態(tài)或者非常情況時(shí),或者為了公共利益的目的,或者經(jīng)人民法院、不正當(dāng)競(jìng)爭(zhēng)行為監(jiān)督檢查部門依法認(rèn)定布圖設(shè)計(jì)權(quán)利人有不正當(dāng)競(jìng)爭(zhēng)行為而需要給予補(bǔ)救時(shí),國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門可以給予使用其布圖設(shè)計(jì)的非自愿許可。

第二十六條國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門作出給予使用布圖設(shè)計(jì)非自愿許可的決定,應(yīng)當(dāng)及時(shí)通知布圖設(shè)計(jì)權(quán)利人給予使用布圖設(shè)計(jì)非自愿許可的決定,應(yīng)當(dāng)根據(jù)非自愿許可的理由,規(guī)定使用的范圍和時(shí)間,其范圍應(yīng)當(dāng)限于為公共目的非商業(yè)性使用,或者限于經(jīng)人民法院、不正當(dāng)競(jìng)爭(zhēng)行為監(jiān)督檢查部門依法認(rèn)定布圖設(shè)計(jì)權(quán)利人有不正當(dāng)競(jìng)爭(zhēng)行為而需要給予的補(bǔ)救。

非自愿許可的理由消除并不再發(fā)生時(shí),國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門應(yīng)當(dāng)根據(jù)布圖設(shè)計(jì)權(quán)利人的請(qǐng)求,經(jīng)審查后作出終止使用布圖設(shè)計(jì)非自愿許可的決定。

第二十七條取得使用布圖設(shè)計(jì)非自愿許可的自然人、法人或者其他組織不享有獨(dú)占的使用權(quán),并且無(wú)權(quán)允許他人使用。

第二十八條取得使用布圖設(shè)計(jì)非自愿許可的自然人、法人或者其他組織應(yīng)當(dāng)向布圖設(shè)計(jì)權(quán)利人支付合理的報(bào)酬其數(shù)額由雙方協(xié)商;雙方不能達(dá)成協(xié)議的,由國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門裁決。

第二十九條布圖設(shè)計(jì)權(quán)利人對(duì)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門關(guān)于使用布圖設(shè)計(jì)非自愿許可的決定不服的,布圖設(shè)計(jì)權(quán)利人和取得非自愿許可的自然人、法人或者其他組織對(duì)國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門關(guān)于使用布圖設(shè)計(jì)非自愿許可的報(bào)酬的裁決不服的,可以自收到通知之日起3個(gè)月內(nèi)向人民法院。

第五章法律責(zé)任

第三十條除本條例另有規(guī)定的外,未經(jīng)布圖設(shè)計(jì)權(quán)利人許可,有下列行為之一的,行為人必須立即停止侵權(quán)行為,并承擔(dān)賠償責(zé)任:

(一)復(fù)制受保護(hù)的布圖設(shè)計(jì)的全部或者其中任何具有獨(dú)創(chuàng)性的部分的;

(二)為商業(yè)目的進(jìn)口、銷售或者以其他方式提供受保護(hù)的布圖設(shè)計(jì)、含有該布圖設(shè)計(jì)的集成電路或者含有該集成電路的物品的。

侵犯布圖設(shè)計(jì)專有權(quán)的賠償數(shù)額,為侵權(quán)人所獲得的利益或者被侵權(quán)人所受到的損失,包括被侵權(quán)人為制止侵權(quán)行為所支付的合理開支。

第三十一條未經(jīng)布圖設(shè)計(jì)權(quán)利人許可,使用其布圖設(shè)計(jì),即侵犯其布圖設(shè)計(jì)專有權(quán),引起糾紛的,由當(dāng)事人協(xié)商解決;不愿協(xié)商或者協(xié)商不成的,布圖設(shè)計(jì)權(quán)利人或者利害關(guān)系人可以向人民法院,也可以請(qǐng)求國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門處理。國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門處理時(shí),認(rèn)定侵權(quán)行為成立的,可以責(zé)令侵權(quán)人立即停止侵權(quán)行為,沒收、銷毀侵權(quán)產(chǎn)品或者物品。當(dāng)事人不服的,可以自收到處理通知之日起15日內(nèi)依照《中華人民共和國(guó)行政訴訟法》向人民法院;侵權(quán)人期滿不又不停止侵權(quán)行為的,國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門可以請(qǐng)求人民法院強(qiáng)制執(zhí)行。應(yīng)當(dāng)事人的請(qǐng)求,國(guó)務(wù)院知識(shí)產(chǎn)權(quán)行政部門可以就侵犯布圖設(shè)計(jì)專有權(quán)的賠償數(shù)額進(jìn)行調(diào)解;調(diào)解不成的,當(dāng)事人可以依照《中華人民共和國(guó)民事訴訟法》向人民法院。

第三十二條布圖設(shè)計(jì)權(quán)利人或者利害關(guān)系人有證據(jù)證明他人正在實(shí)施或者即將實(shí)施侵犯其專有權(quán)的行為,如不及時(shí)制止將會(huì)使其合法權(quán)益受到難以彌補(bǔ)的損害的,可以在前依法向人民法院申請(qǐng)采取責(zé)令停止有關(guān)行為和財(cái)產(chǎn)保全的措施。

第三十三條在獲得含有受保護(hù)的布圖設(shè)計(jì)的集成電路或者含有該集成電路的物品時(shí),不知道也沒有合理理由應(yīng)當(dāng)知道其中含有非法復(fù)制的布圖設(shè)計(jì),而將其投入商業(yè)利用的,不視為侵權(quán)。

第2篇:集成電路布圖設(shè)計(jì)范文

集成電路布圖設(shè)計(jì)糾紛也會(huì)越來(lái)越多,越來(lái)越復(fù)雜,專業(yè)人士如何既能充分研制創(chuàng)新集成電路,又不會(huì)輕易踩到侵犯知識(shí)產(chǎn)權(quán)的“紅線”,是一個(gè)值得注意的問(wèn)題。

本案中這近于1%的相似性能構(gòu)成侵權(quán)么?二審法院將會(huì)如何判定?本律師根據(jù)二審判決就以下要點(diǎn)簡(jiǎn)單分析如下:

其一,鑒定機(jī)構(gòu)的意見是否合理合法?

二審法院除明確鑒定機(jī)構(gòu)和鑒定人員資質(zhì)合法、鑒定程序合法外,重點(diǎn)說(shuō)明了鑒定方法的合理性,即依據(jù)《集成電路布圖設(shè)計(jì)保護(hù)條例》所確定的保護(hù)標(biāo)準(zhǔn),比對(duì)是在雙方集成電路布圖設(shè)計(jì)的相似部分之間進(jìn)行,而不是去比較兩個(gè)完整的布圖設(shè)計(jì)。

其二,雙方的涉案芯片是否相同?

集成電路布圖設(shè)計(jì)的創(chuàng)新空間有限,因此在“相同或?qū)嵸|(zhì)性相似”的認(rèn)定上應(yīng)當(dāng)采用較為嚴(yán)格標(biāo)準(zhǔn)。法院在明確“工藝不是布圖”、“互聯(lián)線路雖然是集成電路布圖設(shè)計(jì)考量時(shí)的參考因素之一,但布圖設(shè)計(jì)的側(cè)重點(diǎn)更在于有源元件和元件與互連線路的三維配置”等原則后,依據(jù)本案證據(jù)認(rèn)定,即使按 照嚴(yán)格的認(rèn)定標(biāo)準(zhǔn),雙方芯片的集成電路布圖設(shè)計(jì)仍有極小部分構(gòu)成實(shí)質(zhì)性相似。

其三,鉅泉公司的“2個(gè)點(diǎn)”是否具有獨(dú)創(chuàng)性?

鉅泉公司已經(jīng)對(duì)自己的“獨(dú)創(chuàng)性”提供了權(quán)利登記證書,而且專利復(fù)審委經(jīng)審查后也終止了撤銷程序,鑒定機(jī)構(gòu)的結(jié)論也表明其芯片中的“2個(gè)點(diǎn)”具有獨(dú)創(chuàng)性。反之,銳能微公司提交的證據(jù)材料不足以證明其所稱的“常規(guī)設(shè)計(jì)”之說(shuō),故法院認(rèn)定鉅泉公司“2個(gè)點(diǎn)”具有獨(dú)創(chuàng)性。

其四,銳能微公司的行為是否侵犯鉅泉公司的權(quán)利?

法院通過(guò)終審判決認(rèn)定了即使是占整個(gè)集成電路布圖設(shè)計(jì)比例很小的非核心部分布圖設(shè)計(jì),其獨(dú)創(chuàng)性也應(yīng)得到法律保護(hù)。

所以在本案中,銳能微公司未經(jīng)許可直接復(fù)制了鉅泉公司芯片布圖設(shè)計(jì)中的“2個(gè)點(diǎn)”并進(jìn)行商業(yè)銷售,確實(shí)構(gòu)成了侵權(quán)。

侵權(quán)方的代價(jià)是什么?法院該如何判斷鉅泉公司的損失呢?

因銳能微公司在法庭上拒絕提供相關(guān)財(cái)務(wù)資料,原審法院只能根據(jù)可查詢到的信息來(lái)綜合評(píng)判,比如其網(wǎng)站頁(yè)面顯示的銷售數(shù)量,同時(shí)綜合考慮了法院保全的銳能微公司部分發(fā)票、“2個(gè)點(diǎn)”所占布圖面積及作用、銳能微公司鉅泉公司受讓了珠海炬力集成電路設(shè)計(jì)有限公司通過(guò)直接復(fù)制縮短了芯片研發(fā)時(shí)間而獲得的市場(chǎng)競(jìng)爭(zhēng)優(yōu)勢(shì)等情況,最終判決賠償鉅泉公司320萬(wàn)元。

一審法院關(guān)于賠償數(shù)額的考慮是較為全面的,加之二審期間,兩方上訴人亦未就損失賠償事宜提供更多的證據(jù),最終上海高院總體評(píng)價(jià)本案后作出了駁回上訴、維持原判的終審判決。

我國(guó)目前在保護(hù)集成電路布圖設(shè)計(jì)方面的法律規(guī)定主要是《集成電路布圖設(shè)計(jì)保護(hù)條例》和《集成電路布圖設(shè)計(jì)保護(hù)條例實(shí)施細(xì)則》,其內(nèi)容包括了布圖設(shè)計(jì)權(quán)利專有權(quán)的界定、取得權(quán)利的流程、權(quán)利的確認(rèn)與保護(hù)等方面。

下面本律師便就我國(guó)有關(guān)此權(quán)利的法律規(guī)定做一簡(jiǎn)單梳理:

布圖設(shè)計(jì)專有權(quán),是指通過(guò)申請(qǐng)注冊(cè)后,依法獲得的利用集成電路設(shè)計(jì)布圖取得商業(yè)利益的權(quán)利。

(一)權(quán)利的主體

按照我國(guó)《集成電路布圖設(shè)計(jì)保護(hù)條例》第3條的規(guī)定,中國(guó)自然人、法人或者其他組織創(chuàng)作的布圖設(shè)計(jì),依照本條例享有布圖設(shè)計(jì)權(quán);外國(guó)人創(chuàng)作的布圖設(shè)計(jì)首先在中國(guó)境內(nèi)投入商業(yè)利用的,依照本條例享有布圖設(shè)計(jì)權(quán);外國(guó)人創(chuàng)作的布圖設(shè)計(jì),其創(chuàng)作者所屬國(guó)同中國(guó)簽訂有關(guān)布圖設(shè)計(jì)保護(hù)協(xié)議或共同參加國(guó)際條約的,依照本條例享有布圖設(shè)計(jì)權(quán)。

(二)客體條件

集成電路布圖設(shè)計(jì)必須具備獨(dú)創(chuàng)性。

布圖設(shè)計(jì)應(yīng)當(dāng)是作者依靠自己的腦力勞動(dòng)完成的,設(shè)計(jì)必須是突破常規(guī)的設(shè)計(jì)或者即使設(shè)計(jì)者使用常規(guī)設(shè)計(jì)但通過(guò)不同的組合方式體現(xiàn)出獨(dú)創(chuàng)性時(shí),均可以獲得法律保護(hù)。

(三)權(quán)利人享有的權(quán)利

1.復(fù)制權(quán),實(shí)際上是重新制作含有該布圖設(shè)計(jì)的集成電路;

2.商業(yè)利用權(quán),是指專有權(quán)人為商業(yè)目的而利用布圖設(shè)計(jì)或含有布圖設(shè)計(jì)的集成電路的權(quán)利。

(四)取得權(quán)利的方式和程序

目前,世界各國(guó)主要采取三種取得方式:自然取得,登記取得,使用與登記取得。大多數(shù)國(guó)家采取登記取得制。我國(guó)也采取登記制度,由國(guó)家知識(shí)產(chǎn)權(quán)行政部門負(fù)責(zé)受理權(quán)利人的申請(qǐng)文件;布圖設(shè)計(jì)登記申請(qǐng)經(jīng)初步審查,未發(fā)現(xiàn)駁回理由的,予以登記并公告。需要注意的是,未經(jīng)登記的布圖設(shè)計(jì)是不受法律保護(hù)的。

(五)權(quán)利的行使

1.布圖設(shè)計(jì)權(quán)的轉(zhuǎn)讓

權(quán)利人將其全部權(quán)利轉(zhuǎn)讓給受讓人所有,即本案中鉅泉公司與原集成電路設(shè)計(jì)權(quán)人珠海炬力公司的權(quán)利轉(zhuǎn)讓行為。根據(jù)條例規(guī)定,轉(zhuǎn)讓布圖設(shè)計(jì)權(quán)的,當(dāng)事人應(yīng)當(dāng)訂立書面合同,并向國(guó)務(wù)院知識(shí)產(chǎn)權(quán)部門登記并公告。

2.設(shè)計(jì)權(quán)的許可

權(quán)利人依據(jù)合同約定,在權(quán)利不轉(zhuǎn)讓的情況下,許可其他主體使用其布圖設(shè)計(jì)權(quán)的行為,此類許可也應(yīng)當(dāng)訂立書面合同,以避免今后權(quán)屬出現(xiàn)爭(zhēng)議。

(六)權(quán)利的保護(hù)

1.侵權(quán)行為

所謂布圖設(shè)計(jì)的侵權(quán),是指侵犯了布圖設(shè)計(jì)人的權(quán)利,依法應(yīng)當(dāng)承擔(dān)的法律責(zé)任。包括侵犯布圖設(shè)計(jì)人的復(fù)制權(quán)和商業(yè)利用權(quán)。本案中,一審被告銳能微公司便是侵犯了鉅泉公司的上述權(quán)利,被法院判決賠償權(quán)利人公司損失的。

2.保護(hù)期限

第3篇:集成電路布圖設(shè)計(jì)范文

關(guān)鍵詞:可重構(gòu);模板提??;圖同構(gòu);子圖擴(kuò)展;數(shù)據(jù)流圖

中圖分類號(hào):TP391 文獻(xiàn)標(biāo)識(shí)碼:A 文章編號(hào):1009-3044(2011)01-0251-03

An Overview of Regularity Extraction Algorithms in Integrated Circuits

ZHANG Hou-jun, ZHOU Zhou

(Department of Computer Science and Technology, Tongji University, Shanghai 201804, China)

Abstract: Data-path dominated integrated circuits always have a good amount of regularity in them. Regularity of integrated circuits has the merits for predigesting design, shortening the period of design, reducing the design cost, and improving the performance of the system. This paper is a literature review. It introduces the recent study of graph-theory based regularity extraction algorithms in summary. Meanwhile the solving idea and time-complexity of some classical algorithms, such as TREE and SPOG, are introduced. The advantages and disadvantages are analyzed too. Moreover, some important properties are summarized and compared. Last, this paper provides a referenced direction for the study of regularity extraction.

Key words: reconfigurable; regularity extraction; graph isomorphism; sub-graph extension; data-flow graph

1 概述

隨著集成電路制造技術(shù)的進(jìn)步和應(yīng)用需求的增長(zhǎng),整個(gè)系統(tǒng)現(xiàn)在已經(jīng)可以集成在單個(gè)芯片之中,片上系統(tǒng)(system on a chip,SoC)已成為集成電路系統(tǒng)設(shè)計(jì)的重要形式和熱點(diǎn)研究?jī)?nèi)容。然而,當(dāng)前集成電路設(shè)計(jì)能力不足已成為制約集成電路工業(yè)進(jìn)一步發(fā)展的重要因素。因此必須盡快改進(jìn)設(shè)計(jì)方法,不斷提高設(shè)計(jì)能力[12]。

傳統(tǒng)的設(shè)計(jì)方法中忽略了系統(tǒng)描述本身所包含的結(jié)構(gòu)特性。在以數(shù)據(jù)處理為主的應(yīng)用描述中往往具有高度的規(guī)律性,存在著大量的相似結(jié)構(gòu),利用其規(guī)律性可以實(shí)現(xiàn)規(guī)則的布圖以提高芯片的性能及可制造性。因此,如果能夠?qū)⒒谀0宓募夹g(shù)用在集成電路的設(shè)計(jì)當(dāng)中,分析和提取電路中相似結(jié)構(gòu)以實(shí)現(xiàn)規(guī)則性的布圖,那么芯片在性能和集成度方面將會(huì)有大大改善。

電路模板技術(shù)是指將電路中重復(fù)出現(xiàn)的子電路抽象出來(lái)作為模板,它在電路性能的提高、電路的驗(yàn)證、設(shè)計(jì)重用、電路劃分等領(lǐng)域以及處理高層次綜合領(lǐng)域中的調(diào)度和分配問(wèn)題都具有重要的作用[12]。因此對(duì)集成電路的規(guī)則性提取問(wèn)題的研究在VLSI 自動(dòng)化設(shè)計(jì)領(lǐng)域具有深遠(yuǎn)的意義。

此外,嵌入式多媒體應(yīng)用程序的一個(gè)顯著特點(diǎn)也是規(guī)則運(yùn)算很多,運(yùn)算時(shí)間復(fù)雜度很高,因此也迫切需要提高性能,降低功耗。

從輸入數(shù)據(jù)流圖(data-flow graph, DFG)中提取出圖中頻繁運(yùn)用的子圖集合或相似子圖集合,通過(guò)后續(xù)模板覆蓋、任務(wù)劃分和調(diào)度階段對(duì)原始DFG進(jìn)行模板覆蓋,將相似子程序調(diào)度到相同的PE陣列上去,這使得程序的調(diào)度更有效,最大可能地復(fù)用模塊單元實(shí)現(xiàn)系統(tǒng)的功能,提高重用性,減少系統(tǒng)的面積。因此,基于模板的技術(shù)也是可重構(gòu)系統(tǒng)任務(wù)編譯器前端設(shè)計(jì)中一種較有效的方法。如果能在可重構(gòu)系統(tǒng)的編譯器當(dāng)中使用模板技術(shù),那么對(duì)系統(tǒng)的并行處理及邏輯優(yōu)化等將會(huì)有很大幫助。

無(wú)論是對(duì)數(shù)據(jù)通路型集成電路還是對(duì)嵌入式多媒體應(yīng)用程序進(jìn)行規(guī)律性提取時(shí),通常都是將電路的門級(jí)網(wǎng)表或者程序轉(zhuǎn)化為對(duì)應(yīng)的DFG表示。因此,本文主要討論基于圖論的模板提取。

2 問(wèn)題定義

對(duì)于一個(gè)DFG,結(jié)點(diǎn)表示一個(gè)簡(jiǎn)單的操作(比如ADD,SUB等),有向邊表示數(shù)據(jù)流的方向。設(shè)G(V,E)表示一個(gè)DFG,V為其頂點(diǎn)集,E為其邊集,有如下定義。

定義1 若圖SG(SV,SE) 滿足SV∈V 及SE∈E,則稱SG是G 子圖[16]。

定義2 對(duì)于G(V,E)中的兩個(gè)子圖G1(V1,E1),G2(V2,E2),如果V1和V2之間存在一一對(duì)應(yīng)的映射關(guān)系f:V1V2,對(duì)于vi,vj∈V1,∈E1當(dāng)且僅當(dāng)∈E2,并且與的重?cái)?shù)相同,那么稱G(V,E)的兩個(gè)子圖G1(V1,E1),G2(V2,E2)是同構(gòu)的[16]。

定義3 模板T就是DFG中頻繁出現(xiàn)的子圖結(jié)構(gòu),而與此模板結(jié)構(gòu)相同的子圖稱為該模板的實(shí)例,這種子圖的個(gè)數(shù)稱為該模板的頻數(shù)[13]。

定義4 若SG(SV,SE)是G(V,E)的一個(gè)子圖,將SV記為有序的結(jié)點(diǎn)集,則SV的第一個(gè)結(jié)點(diǎn)稱為SV或子圖SG的起點(diǎn)[12]。

定義5 圖G(V,E)的頂點(diǎn)平均度,記作

其中,deg(vi)為頂點(diǎn)vi的度,表示與vi相鄰頂點(diǎn)的個(gè)數(shù)[11]。

3 現(xiàn)有模板提取算法分析

目前,國(guó)外有些學(xué)者提出了一些模板提取的算法,并取得了一定的研究成果,國(guó)內(nèi)研究尚處于初級(jí)階段。下面對(duì)一些典型的模板提取算法的思想作一下介紹。

3.1 模板提取算法

3.1.1 TREE和SPOG算法[8]

由Chowdhary等人提出的TREE算法能夠提取出單輸出和內(nèi)部沒有匯聚的模板。而且其通過(guò)兩個(gè)假設(shè)(假設(shè)1:把圖G的子圖集S限制在只包括某些子圖,這些子圖滿足不再是S中任一圖的子圖,且在S中其頻數(shù)大于1。假設(shè)2:對(duì)于G中每一個(gè)有入邊的結(jié)點(diǎn)v,假設(shè)其有f條入邊,前驅(qū)結(jié)點(diǎn)分別為u1,u2…uf,每一條邊都被賦予一個(gè)唯一的索引號(hào),k[ui, v]=i, 1≤i≤f)將樹形模板的數(shù)量減少到v(v-1)/2。算法的基本思想如下:

1)對(duì)G的所有結(jié)點(diǎn)進(jìn)行拓?fù)渑判騰1,v2…vn。

2)對(duì)于任意兩個(gè)編號(hào)的結(jié)點(diǎn)vi, vj(1≤i,j≤n),生成以這兩個(gè)結(jié)點(diǎn)為根的功能上相同的最大子圖作為一個(gè)模板Sm。

3)判斷模板庫(kù)中是否存在于Sm功能上等價(jià)的模板。如果不存在,將Sm加入到模板庫(kù)當(dāng)中;否則,舍棄Sm。

SPOG算法則是在TREE算法基礎(chǔ)上的擴(kuò)展和改進(jìn),將生成的模板擴(kuò)展到多輸出模板。此時(shí)SPOG子圖的數(shù)量可以被限制在v(v-1)。

TREE算法和SPOG算法是典型的模板提取算法,它能夠提取出基于兩個(gè)假設(shè)以及各自限制條件之內(nèi)的所有模板,這對(duì)于后續(xù)的模板覆蓋有很大的幫助,覆蓋率較高。但同時(shí)此算法也有著很大的不足之處,都適用于分散圖,且生成的模板限制在tree形或spog形,算法的復(fù)雜度也很高,為O(v5),不適合實(shí)際工程的需要。

3.1.2 FAN算法[15]

潘偉濤等人提出的FAN算法通過(guò)邊權(quán)值編碼,先生成小規(guī)模模板,然后再逐級(jí)擴(kuò)展生成較大規(guī)模模板,產(chǎn)生扇形頻繁子電路。算法的基本思想如下:

1)統(tǒng)計(jì)電路中每種標(biāo)準(zhǔn)單元出現(xiàn)的頻率。依據(jù)最小支持度確定為各標(biāo)準(zhǔn)單元作標(biāo)記還是刪除它,并計(jì)算所有頂點(diǎn)的有效輸入權(quán)值。

2)搜索所有同構(gòu)實(shí)例,對(duì)于每一個(gè)同構(gòu)實(shí)例在最左頂點(diǎn)擴(kuò)展一條邊。

3)統(tǒng)計(jì)擴(kuò)展后的扇形子電路的種類和頻數(shù)。依據(jù)最小支持度確定將此子電路標(biāo)記為模板并進(jìn)行下一輪的擴(kuò)展還是將它刪除。

FAN算法采用最小支持度對(duì)每次擴(kuò)展生成的子圖進(jìn)行限制,通過(guò)比較子電路的出現(xiàn)的頻數(shù),有效地避免了子圖擴(kuò)展時(shí)一些不必要的冗余擴(kuò)展,并且此算法采用逐級(jí)擴(kuò)大規(guī)模的方法,得到的模板層次化較強(qiáng),可以對(duì)電路進(jìn)行更好的覆蓋實(shí)用性較強(qiáng)。

3.1.3 其他算法

Rao and Kurdahi [3]最早關(guān)注于數(shù)據(jù)通路型集成電路的模板提取,它將基于模板的聚類思想應(yīng)用到數(shù)據(jù)通路的綜合上,這里的模板提取過(guò)程也就是基于不同子圖(它們可以被復(fù)制來(lái)覆蓋整個(gè)DFG)的識(shí)別過(guò)程。文獻(xiàn)[4]在解決模板提取問(wèn)題時(shí),假設(shè)子模塊已經(jīng)生成,主要解決子模塊分類問(wèn)題,但是一般情況下需要自動(dòng)生成模塊。文獻(xiàn)[5-6]提出了一些模塊生成算法,但均是先選擇某一頂點(diǎn)作為一個(gè)模塊,然后在此模塊內(nèi)不斷加入其它的頂點(diǎn)形成新的模塊。這幾種算法對(duì)模塊的形式?jīng)]有限制,但也有其固有的缺點(diǎn),就是所生成的模塊形式依賴于起始模塊的選擇。文獻(xiàn)[11]提出了一種基于頂點(diǎn)的輻射路特征的門級(jí)到功能模塊級(jí)的快速子電路提取算法,解決了宏單元模板自動(dòng)匹配,通過(guò)單個(gè)頂點(diǎn)的相似度特征,將子圖同構(gòu)問(wèn)題轉(zhuǎn)化為頂點(diǎn)之間的匹配問(wèn)題,算法最差時(shí)間復(fù)雜度為■(其中,n和k為兩圖結(jié)點(diǎn)數(shù),d為原始電路的直徑)。文獻(xiàn)[12]中算法對(duì)DFG的整體結(jié)構(gòu)以及模塊的結(jié)構(gòu)沒有要求,增強(qiáng)了算法的健壯性,而且生成的模板的層次化較強(qiáng),模板覆蓋率較高,但在同構(gòu)判斷時(shí)無(wú)針對(duì)性,需對(duì)所有模板進(jìn)行一一判斷,導(dǎo)致程序復(fù)雜性的提高。

3.2 模板提取算法的比較與分析

模板提取算法有以下一些重要性質(zhì):1)輸入DFG的類型,如連通圖、有向圖和無(wú)環(huán)圖等;2)遍歷策略,如深度優(yōu)先或者廣度優(yōu)先等;3)候選子圖的產(chǎn)生策略,如逐級(jí)擴(kuò)展還是其他;4)對(duì)重復(fù)圖的消除策略,如主動(dòng)地或被動(dòng)地;5)生成模板的層次化,如較好或較差。表1詳細(xì)列出了一些模板提取算法的重要性質(zhì),并進(jìn)行了比較。

4 總結(jié)和展望

隨著集成電路產(chǎn)業(yè)的發(fā)展,迫切地需要提高芯片的性能,而利用集成電路自身的規(guī)律性可以實(shí)現(xiàn)規(guī)則的布圖。因此,基于模板的技術(shù)將會(huì)對(duì)提高芯片的性能及可制造性有很大的幫助。本文歸納了基于圖論的模板提取的各種算法,目前在這方面的研究已經(jīng)取得了很大成績(jī),并被應(yīng)用到一些實(shí)際的系統(tǒng)中。本文重點(diǎn)介紹了TREE、SPOG和FAN等典型的模板提取算法,并對(duì)其他算法進(jìn)行了簡(jiǎn)要介紹。歸納出模板提取算法的一些重要性質(zhì),并對(duì)現(xiàn)有各算法進(jìn)行了比較。

雖然目前存在的算法較多,且執(zhí)行效率較高,但我們覺得還可以在以下方面加以改進(jìn)或做進(jìn)一步的研究:

1)現(xiàn)實(shí)生活中有各種各樣的圖形:有向圖,無(wú)向圖,加權(quán)圖,無(wú)連通圖等,但目前的算法大部分都是針對(duì)連通圖的提取,對(duì)加權(quán)圖有環(huán)圖等的提取算法很少,因此對(duì)加權(quán)圖有環(huán)圖等的提取算法的研究也是一個(gè)重要的研究方向。

2)現(xiàn)有方法優(yōu)勢(shì)還主要集中在對(duì)小規(guī)模集成電路的提取上,集成電路產(chǎn)業(yè)的發(fā)展要求我們能夠?qū)Υ笠?guī)模甚至超大規(guī)模集成電路進(jìn)行提取,因此需要研究大規(guī)模集成電路的提取方法。

3)模板提取評(píng)測(cè)方法的研究。目前主要是靠算法復(fù)雜度的評(píng)估以及模板覆蓋率等,在模板覆蓋階段,現(xiàn)有最大模板優(yōu)先和最頻繁模板優(yōu)先的方法,但這樣不能達(dá)到對(duì)系統(tǒng)最好覆蓋,因此我們應(yīng)該考慮如何在模板的規(guī)模和頻數(shù)之間進(jìn)行權(quán)衡,以利用所提取的模板達(dá)到對(duì)系統(tǒng)的最完美覆蓋,最大程度地減小系統(tǒng)面積開銷。

參考文獻(xiàn):

[1] Philip Brisk,Adam Kaplan,Ryan Kastner,Majid Sarrafzadeh.Instruction Generation and Regularity Extraction For Reconfigurable Processors[C].Proceedings of the ACM,Grenoble,France,2002:262-269.

[2] Yuanqing Guo,Gerard J M,Smit Hajo,et al.Template Generation and Selection Algorithms[C].Proceedings of The 3rd IEEE International Workshop on System-on-Chip for Real-Time Applications,2003.

[3] Rao D S,Kurdahi F J.Partitioning by regularity extraction. In: Proceedings of the ACM[C].IEEE Design Automation Conference,Anaheim,California,USA,1992:235-238.

[4] Rao D S,Kurdahi F J.An approach to scheduling and allocation using regularity extraction[C].Proceedings of the Europe Conference on Design Automation,Paris,France,1993:557-561.

[5] Arikati S R,Varadarajan R.A signature based approach to regularity extraction[C].Proceedings of the International Conference on Computer Aided Design,San Jose,California,USA,1997:542-545.

[6] Kutzschebauch T.Efficient logic optimization using regularity extraction[C].Proceedings of the International Workshop on Logic Synthesis,Austin,Texas,USA,1999:487-493.

[7] Shmidt D,Druffel L.A fast backtracking algorithm to test directed graphs for isomorphism using distance measures[J].Journal of ACM,1976,23(3):433-445.

[8] Chowdhary A,Kale S,Saripella P,et al.A general approach for regularity extraction in datapath circuits[C].Proceedings of the International Conference on Computer Aided Design,San Jose, California,USA,1998:332-339.

[9] Rosiello A P E,Ferrandi F,Pandini D,et al.A Hash-based Approach for Functional Regularity Extraction During Logic Synthesis[C]//IEEE Computer Society Annual Symposium on VLSI.New York:IEEE, 2007:92-97.

[10] Chowdhary A,Kale S.Extraction of Functional Regularity in Datapath Circuits[J].IEEE Trans on Computer Aided Design,1999,18(9):1279-1296.

[11] 李長(zhǎng)青,汪雪林,彭思龍.輻射路匹配:從門級(jí)到功能模塊級(jí)的子電路提取算法[J].計(jì)算機(jī)輔助設(shè)計(jì)與圖形學(xué)學(xué)報(bào),2006,18(9):1377-1382.

[12] 郎榮玲,秦紅磊,路輝.集成電路中的規(guī)則性提取算法[J].計(jì)算機(jī)學(xué)報(bào),2006,29(4):597-601.

[13] 潘偉濤,謝元斌,郝躍,等.二同構(gòu)擴(kuò)展集成電路規(guī)律性提取算法[J].西安電子科技大學(xué)學(xué)報(bào):自然科學(xué)版,2009,36(3):452-457.

第4篇:集成電路布圖設(shè)計(jì)范文

對(duì)2015年形勢(shì)的基本判斷

宏觀經(jīng)濟(jì)持續(xù)復(fù)蘇,全球半導(dǎo)體市場(chǎng)保持增長(zhǎng)

由于全球經(jīng)濟(jì)持續(xù)復(fù)蘇,市場(chǎng)增速不斷回升的影響,2014年世界半導(dǎo)體產(chǎn)業(yè)保持者穩(wěn)定增長(zhǎng)的趨勢(shì)。移動(dòng)智能終端、平板電腦、消費(fèi)類電子、工業(yè)控制、新能源汽車、節(jié)能環(huán)保、信息安全等產(chǎn)業(yè)的不斷發(fā)展,成為全球半導(dǎo)體市場(chǎng)發(fā)展的重要推動(dòng)因素。根據(jù)美國(guó)半導(dǎo)體行業(yè)協(xié)會(huì)(SIA)統(tǒng)計(jì),2014年前三季度,全球半導(dǎo)體產(chǎn)業(yè)銷售額2444.7億美元,與上年同期相比成長(zhǎng)10%,其中第三季度以870億美元銷售額創(chuàng)下歷史單季度最高紀(jì)錄,同比增長(zhǎng)9%,增速為5.7%。受惠于個(gè)人電腦、筆記本電腦的回穩(wěn),4G技術(shù)滲透率提升,預(yù)計(jì)2014年全球半導(dǎo)體銷售規(guī)模將達(dá)3255億美元,同比增長(zhǎng)6.5%。

展望2015年,隨著蘋果公司新款移動(dòng)智能終端iPhone6、iPadAir2以及可穿戴設(shè)備Applewatch等產(chǎn)品的陸續(xù)上市,將進(jìn)一步增強(qiáng)對(duì)移動(dòng)處理器、存儲(chǔ)器、指紋識(shí)別等芯片市場(chǎng)的需求刺激。同時(shí),芯片產(chǎn)業(yè)發(fā)展現(xiàn)階段以呈現(xiàn)出逐步由移動(dòng)智能終端向智能家居和汽車電子等領(lǐng)域深入發(fā)展的趨勢(shì),受物聯(lián)網(wǎng)新應(yīng)用對(duì)各種傳感器及低功耗、小尺寸芯片的需求增長(zhǎng)等因素的影響,全球半導(dǎo)體市場(chǎng)業(yè)績(jī)將持續(xù)向上攀升,銷售規(guī)模有望達(dá)到3500億美元,較2014年增長(zhǎng)7.5%。

但是,隨著半導(dǎo)體工藝尺寸逐步逼近硅工藝物理極限,摩爾定律的重要性正逐步減弱。2015年,半導(dǎo)體產(chǎn)業(yè)面臨最大的挑戰(zhàn)來(lái)自于先進(jìn)制程不斷攀升的成本投入,并可能長(zhǎng)期影響整體產(chǎn)業(yè)的成長(zhǎng)動(dòng)能。因此,2015年雖然預(yù)計(jì)仍會(huì)有規(guī)模的成長(zhǎng),但如何能增加研發(fā)動(dòng)能,以較低成本突破關(guān)鍵技術(shù)節(jié)點(diǎn),將是2015年半導(dǎo)體產(chǎn)業(yè)最大的重點(diǎn)。

我國(guó)產(chǎn)業(yè)規(guī)模快速增長(zhǎng),技術(shù)水平不斷提升

在宏觀經(jīng)濟(jì)持續(xù)復(fù)蘇,全球半導(dǎo)體市場(chǎng)保持增長(zhǎng)大背景下,我國(guó)集成電路產(chǎn)業(yè)仍保持較快的增長(zhǎng)速度。2014年前三季度,全行業(yè)實(shí)現(xiàn)銷售額2125.9億元,同比增長(zhǎng)17.2%,高于全球同期增長(zhǎng)水平7.2個(gè)百分點(diǎn),產(chǎn)業(yè)規(guī)模進(jìn)一步擴(kuò)大。其中,設(shè)計(jì)業(yè)繼續(xù)保持快速增長(zhǎng)態(tài)勢(shì),銷售額為746.5億元,同比增長(zhǎng)30%;制造業(yè)銷售額486.1億元,同比增長(zhǎng)7.9%;封裝測(cè)試業(yè)銷售額893.3億元,同比增長(zhǎng)13.2%。芯片設(shè)計(jì)業(yè)占全行業(yè)比重達(dá)35.1%,較2013年提高了3.4個(gè)百分點(diǎn),設(shè)計(jì)環(huán)節(jié)快速增長(zhǎng)為我國(guó)下游芯片制造和封測(cè)環(huán)節(jié)帶來(lái)更多訂單,有效降低這兩個(gè)環(huán)節(jié)對(duì)外依存度過(guò)高帶來(lái)的產(chǎn)業(yè)發(fā)展風(fēng)險(xiǎn)。我國(guó)集成電路產(chǎn)業(yè)結(jié)構(gòu)逐步優(yōu)化。預(yù)計(jì)2014年集成電路產(chǎn)業(yè)銷售額達(dá)到3000億元,同比增長(zhǎng)11.4%。

技術(shù)方面,IC設(shè)計(jì)業(yè)先進(jìn)設(shè)計(jì)技術(shù)水平提升至16nm,2014年9月華為海思與臺(tái)積電合作推出首款16nm FinFET 64手機(jī)位芯片。IC設(shè)計(jì)業(yè)的主流設(shè)計(jì)技術(shù)推進(jìn)到40/28nm水平。IC制造業(yè),2014年1月,中芯國(guó)際宣布可以向客戶提供28nm多晶硅(Ploy SiON)和28nm高k介質(zhì)金屬柵極(HKMG)的多項(xiàng)目晶圓(MPW)代工服務(wù),正式進(jìn)入28nm工藝時(shí)代。部分關(guān)鍵裝備和材料實(shí)現(xiàn)從無(wú)到有,部分被國(guó)內(nèi)外生產(chǎn)線采用,離子注入機(jī)、刻蝕機(jī)、濺射靶材等進(jìn)入8英寸或12英寸生產(chǎn)線。

展望2015年,在國(guó)家對(duì)信息安全建設(shè)重視程度進(jìn)一步加大,《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》和產(chǎn)業(yè)投資基金的逐步運(yùn)作,以及移動(dòng)互聯(lián)網(wǎng)、物聯(lián)網(wǎng)市場(chǎng)進(jìn)一步發(fā)展的推動(dòng)下,我國(guó)集成電路芯片需求有望持續(xù)釋放,從而帶動(dòng)全行業(yè)規(guī)模進(jìn)一步增長(zhǎng)。只要保持2014年目前平穩(wěn)快速的增長(zhǎng)趨勢(shì),到2015年就可以完成《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》制定的3500億元的發(fā)展目標(biāo)。

預(yù)計(jì),我國(guó)IC設(shè)計(jì)業(yè)將成為銷售規(guī)模超過(guò)1300億元的第一大行業(yè),芯片制造業(yè)銷售規(guī)模將超過(guò)1000億元,封裝測(cè)試業(yè)銷售規(guī)模超過(guò)1200億元。與此同時(shí),集成電路產(chǎn)業(yè)的主流技術(shù)將推進(jìn)到28/20nm,先進(jìn)技術(shù)將導(dǎo)入到16nm領(lǐng)域。我國(guó)集成電路產(chǎn)業(yè)為迎接“十三五”發(fā)展構(gòu)建了堅(jiān)實(shí)的基礎(chǔ)。

企業(yè)跨國(guó)合作頻繁,中國(guó)資本開啟海外并購(gòu)

我國(guó)目前擁有全球最大、增長(zhǎng)最快的集成電路市場(chǎng),占全球市場(chǎng)份額達(dá)到50%左右,成為全球集成電路巨頭鏖戰(zhàn)的主戰(zhàn)場(chǎng)。

越來(lái)越多的海外巨頭謀求與國(guó)內(nèi)企業(yè)合作。2014年7月,美國(guó)高通公司宣布將部分驍龍?zhí)幚砥鞔び唵谓挥芍行緡?guó)際代工,高通表示將攜手中芯國(guó)際,將28nm技術(shù)應(yīng)用于驍龍?zhí)幚砥?,借此利用市?chǎng)換技術(shù)、市場(chǎng)換訂單的機(jī)會(huì),有望成為長(zhǎng)電科技等國(guó)內(nèi)相關(guān)公司的成長(zhǎng)動(dòng)力。9月,全球芯片龍頭企業(yè)英特爾公司向紫光集團(tuán)注資90億元,并達(dá)成合作協(xié)議,聯(lián)合開發(fā)基于英特爾架構(gòu)和通信技術(shù)的手機(jī)解決方案,在中國(guó)和全球市場(chǎng)擴(kuò)展英特爾架構(gòu)移動(dòng)設(shè)備的產(chǎn)品和應(yīng)用。在加深與海外巨頭合作的同時(shí),國(guó)內(nèi)的龍頭企業(yè)也逐步開啟了海外并購(gòu)的步伐。2013年年末至2014年三季度期間,中國(guó)集成電路行業(yè)共發(fā)生4宗海外并購(gòu),涉及金額超過(guò)50億美元。

展望2015年,伴隨著國(guó)家集成電路產(chǎn)業(yè)投資基金的落地以及我國(guó)半導(dǎo)體行業(yè)的不斷內(nèi)生發(fā)展,還將有更多的中國(guó)半導(dǎo)體企業(yè)開展相應(yīng)海外的兼并收購(gòu)。不斷的“走出去,引進(jìn)來(lái)”獲得先進(jìn)的技術(shù)、專利或其他知識(shí)產(chǎn)權(quán),包括技術(shù)人員,提高自主創(chuàng)新能力。

目前長(zhǎng)電科技正在醞釀收購(gòu)球第四大半導(dǎo)體封測(cè)企業(yè)新加坡星科金朋,如果此次并購(gòu)成功,長(zhǎng)電科技未來(lái)將在CSP、WLCSP、SiP、3D TSV等先進(jìn)封裝技術(shù)的競(jìng)爭(zhēng)中取得更大的市場(chǎng)份額。同時(shí),也有望進(jìn)入全球封測(cè)行業(yè)第一陣營(yíng),營(yíng)收規(guī)模甚至能超過(guò)全球第三大的矽品(SPIL)。

政策細(xì)則逐步實(shí)施,產(chǎn)業(yè)發(fā)展環(huán)境日趨向好

為確保國(guó)家信息安全,提高我國(guó)集成電路產(chǎn)業(yè)核心競(jìng)爭(zhēng)力,2014年6月,出臺(tái)《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》,其內(nèi)容與《鼓勵(lì)軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)發(fā)展的若干政策》(國(guó)發(fā)[2000]18號(hào))和《進(jìn)一步鼓勵(lì)軟件產(chǎn)業(yè)與集成電路產(chǎn)業(yè)發(fā)展的若干政策》(國(guó)發(fā)[2011]4號(hào))一脈相承,但增加了三個(gè)亮點(diǎn):一是加強(qiáng)組織領(lǐng)導(dǎo),成立國(guó)家集成電路產(chǎn)業(yè)發(fā)展領(lǐng)導(dǎo)小組。二是設(shè)立國(guó)家集成電路產(chǎn)業(yè)發(fā)展投資基金。三是將加大金融支持力度將集成電路產(chǎn)業(yè)發(fā)展提升到了國(guó)家戰(zhàn)略的高度。9月,國(guó)家集成電路產(chǎn)業(yè)投資基金正式設(shè)立。該基金將采取股權(quán)投資等多種形式,重點(diǎn)投資集成電路芯片制造業(yè),兼顧芯片設(shè)計(jì)、封裝測(cè)試、設(shè)備和材料等產(chǎn)業(yè),推動(dòng)企業(yè)提升產(chǎn)能水平和實(shí)行兼并重組,為我國(guó)集成電路產(chǎn)業(yè)突破投資瓶頸,提供有力保障。

展望2015年,在《推進(jìn)綱要》的引導(dǎo)和推動(dòng)下,各地方的集成電路產(chǎn)業(yè)扶持政策將密集出臺(tái),產(chǎn)業(yè)投資基金模式將成為首選,以協(xié)同配合國(guó)家基金的運(yùn)作。我國(guó)集成電路產(chǎn)業(yè)發(fā)展的政策體系將得到進(jìn)一步完善。但也需注意,密集的政策也容易導(dǎo)致執(zhí)行者無(wú)所適從,難以充分理解和貫徹政策精神,同時(shí)也有可能導(dǎo)致政府對(duì)行業(yè)的過(guò)度干預(yù),影響市場(chǎng)在資源配置中的絕對(duì)作用。

需要關(guān)注的幾個(gè)問(wèn)題

制造業(yè)發(fā)展將面臨諸多壓力

當(dāng)前全球集成電路產(chǎn)業(yè)競(jìng)爭(zhēng)格局,正逐漸由寡頭壟斷轉(zhuǎn)變?yōu)楣杨^聯(lián)盟,聯(lián)盟之外的企業(yè)難以進(jìn)入,而專利共享、技術(shù)共享也營(yíng)造了各種小圈子,在這種情況下,中國(guó)企業(yè)想要憑一己之力占有一席之地,越來(lái)越困難。2014年10月,IBM以負(fù)盈利15億美元方式,將旗下的芯片制造業(yè)務(wù)出售給了芯片代工廠商格羅方德。同時(shí),IBM未來(lái)五年將投入30億美元研發(fā)基礎(chǔ)半導(dǎo)體技術(shù),研究成果同步轉(zhuǎn)移給格羅方德,格羅方德未來(lái)可能成為全球擁有最先進(jìn)半導(dǎo)體技術(shù)的晶片代工廠。從目前全球芯片代工格局來(lái)看,臺(tái)積電一家獨(dú)大,市場(chǎng)占有率接近50%。格羅方德、聯(lián)電、三星屬于第二梯隊(duì),分列第二到四位,市場(chǎng)占有率分別為9%左右。格羅方德和IBM的此次交易,必將引起全球芯片代工競(jìng)爭(zhēng)格局的變化。

未來(lái),各家企業(yè)將會(huì)投入更多資源用于新技術(shù)的研發(fā),以確保自身的技術(shù)競(jìng)爭(zhēng)優(yōu)勢(shì)。中芯國(guó)際目前營(yíng)收全球排名第五,市場(chǎng)占有率5%左右,28nm的高端制程落后于國(guó)外兩代。雖然產(chǎn)業(yè)投資基金已經(jīng)明確指出將重點(diǎn)支持集成電路制造業(yè),但是從資金投入到真正實(shí)現(xiàn)產(chǎn)出效應(yīng),還需要一段較長(zhǎng)的周期。因此,我國(guó)集成電路制造業(yè)在全球新一輪競(jìng)爭(zhēng)中將面臨更大挑戰(zhàn)。

4G設(shè)備加速替代過(guò)程仍面臨專利隱患

核心技術(shù)與知識(shí)產(chǎn)權(quán)的缺失,仍是制約我國(guó)集成電路產(chǎn)業(yè)發(fā)展的重要因素。自2013年11月至今,國(guó)家發(fā)改委對(duì)美國(guó)高通公司壟斷調(diào)查事件已持續(xù)了一年之久,目前已經(jīng)進(jìn)入了最后處罰階段。處罰將會(huì)涉及罰金以及調(diào)整專利費(fèi)等幾個(gè)方面。其中罰金或?qū)⒊^(guò)10億美元,但這對(duì)于年收入超過(guò)200億美元的高通而言,影響相對(duì)較小。雖然調(diào)整專利授權(quán)費(fèi)可能會(huì)一定程度影響高通的業(yè)績(jī),并可借此緩解我國(guó)手機(jī)芯片產(chǎn)業(yè)的發(fā)展壓力。但是在4G技術(shù)方面,高通仍然具有強(qiáng)大的LTE技術(shù)優(yōu)勢(shì),其專利總量遠(yuǎn)遠(yuǎn)超過(guò)3G。

2015年,隨著國(guó)內(nèi)4G設(shè)備對(duì)3G設(shè)備的加速替代,高通的眾多LTE知識(shí)產(chǎn)權(quán)將陸續(xù)“變現(xiàn)”。屆時(shí),不但會(huì)對(duì)終端企業(yè)造成巨大的成本壓力,其相互嵌套的專利布局也極易使國(guó)內(nèi)芯片企業(yè)陷入專利陷阱。因此,如果核心技術(shù)創(chuàng)新能力不能跟上世界高端腳步,單單依靠反壟斷手段來(lái)保護(hù)國(guó)內(nèi)產(chǎn)業(yè),那么,未來(lái)高端芯片對(duì)外依存度較高的局面仍不會(huì)較大改善,對(duì)我國(guó)集成電路產(chǎn)業(yè)發(fā)展而言也不是長(zhǎng)久之計(jì)。

產(chǎn)業(yè)投資基金的落實(shí)面臨挑戰(zhàn)

集成電路產(chǎn)業(yè)發(fā)展投資基金已于2014年9月正式成立,下一步將面臨基金如何投放使用的問(wèn)題。一是如何在“發(fā)展產(chǎn)業(yè)”和“資本回報(bào)”之間找到平衡,如何在“短期利益”和“長(zhǎng)期利益”中找到折中。二是如何在“重點(diǎn)支持”和“兼顧多方”中做出抉擇,如何在“有競(jìng)爭(zhēng)力的企業(yè)”和“有影響力的企業(yè)”中做出取舍。三是作為并購(gòu)來(lái)說(shuō),國(guó)際上可并購(gòu)的標(biāo)的數(shù)量較少,而且相關(guān)國(guó)家和地區(qū)還對(duì)中國(guó)的收購(gòu)加大限制,持抵制和反對(duì)態(tài)度,更加減少了并購(gòu)標(biāo)的的選擇;并且產(chǎn)業(yè)投資基金的目的性和針對(duì)性過(guò)于明顯,導(dǎo)致相關(guān)收購(gòu)標(biāo)的的價(jià)格便“居高不下”,所以如何兼顧產(chǎn)業(yè)發(fā)展的時(shí)間點(diǎn)和收購(gòu)的時(shí)間點(diǎn)是一個(gè)非常重要的問(wèn)題。四是國(guó)內(nèi)相關(guān)領(lǐng)域具有可整合國(guó)際企業(yè)的本土企業(yè)管理團(tuán)隊(duì)非常少。

因此,在成功并購(gòu)了國(guó)外企業(yè)之后,如何實(shí)施有效的管理,如何通過(guò)并購(gòu)使國(guó)內(nèi)企業(yè)和產(chǎn)業(yè)通過(guò)消化吸收做大做強(qiáng),又成為一個(gè)亟待解決的問(wèn)題。不能為了并購(gòu)而并購(gòu),在補(bǔ)“全”還是補(bǔ)“強(qiáng)”我國(guó)集成電路產(chǎn)業(yè)的問(wèn)題上,還是要從國(guó)內(nèi)產(chǎn)業(yè)發(fā)展需求出發(fā),根據(jù)相關(guān)企業(yè)的發(fā)展目標(biāo)而實(shí)施。

此外,千億元的投資基金看似龐大,實(shí)際細(xì)算下來(lái)可能仍然有所不足。從目前來(lái)看,這1200億元資金將分5年投入,因此每年相當(dāng)于不過(guò)200多億元,加之還要分配到產(chǎn)業(yè)鏈的幾個(gè)環(huán)節(jié)之上使用。這個(gè)數(shù)字與國(guó)際IC巨頭每年投入相比仍有差距。集成電路產(chǎn)業(yè)有大者恒大的特點(diǎn),目前我國(guó)企業(yè)雖然經(jīng)過(guò)多年追趕實(shí)力有所提高,但總體上是落后的,再想追趕先進(jìn)水平需要付出更大的努力。

應(yīng)采取的對(duì)策建議

借力產(chǎn)業(yè)投資基金機(jī)遇,做大做強(qiáng)半導(dǎo)體制造業(yè)

一是加大投資,支持先進(jìn)芯片生產(chǎn)線建設(shè)。伴隨著《國(guó)家集成電路產(chǎn)業(yè)發(fā)展推進(jìn)綱要》的落地以及1200億產(chǎn)業(yè)發(fā)展投資基金的成立,芯片制造業(yè)迎來(lái)新一輪發(fā)展機(jī)遇。

應(yīng)繼續(xù)加大對(duì)集成電路制造龍頭企業(yè)扶持力度,一方面支持32/28nm芯片生產(chǎn)線建設(shè),形成與芯片設(shè)計(jì)業(yè)相適應(yīng)的規(guī)模生產(chǎn)能力,另一方面加快立體工藝開發(fā),推動(dòng)22/20nm、16/14nm芯片生產(chǎn)線建設(shè)。二是鼓勵(lì)芯片制造與設(shè)計(jì)廠商結(jié)盟,縮短研發(fā)周期。未來(lái)處理器芯片陸續(xù)將實(shí)現(xiàn)本土化生產(chǎn),扶植以芯片制造為核心的產(chǎn)業(yè)鏈各環(huán)節(jié)的龍頭企業(yè),積極探索上下游環(huán)節(jié)虛擬一體化模式,共同推進(jìn)處理器產(chǎn)品的設(shè)計(jì)服務(wù)、光罩制作、芯片生產(chǎn)、測(cè)試、封裝以及故障、問(wèn)題分析等工作,縮短產(chǎn)品上市周期。依托公共技術(shù)和服務(wù)平臺(tái),開展聯(lián)合技術(shù)創(chuàng)新和品牌推廣,實(shí)現(xiàn)上下游良性互動(dòng)。三是推進(jìn)企業(yè)兼并重組,提高競(jìng)爭(zhēng)力。芯片制造業(yè)是典型的資金和技術(shù)密集型產(chǎn)業(yè),其規(guī)模效應(yīng)十分顯著,因而要在強(qiáng)調(diào)自主創(chuàng)新的同時(shí),做大產(chǎn)業(yè)規(guī)模。要鼓勵(lì)芯片制造企業(yè)兼并重組,擴(kuò)大規(guī)模,在知識(shí)產(chǎn)權(quán)、技術(shù)、設(shè)備、采購(gòu)、人力資源、市場(chǎng)條件等方面形成優(yōu)勢(shì),達(dá)到規(guī)模經(jīng)濟(jì)效益。鼓勵(lì)集成電路制造企業(yè)通過(guò)資產(chǎn)聯(lián)營(yíng)、兼并、收購(gòu)、參股、控股等手段增加企業(yè)融資渠道,優(yōu)化產(chǎn)業(yè)資源配置,實(shí)現(xiàn)優(yōu)勢(shì)企業(yè)的強(qiáng)強(qiáng)聯(lián)合,做大做優(yōu)做強(qiáng)骨干企業(yè),培育若干具有國(guó)際競(jìng)爭(zhēng)力的集成電路制造企業(yè)。

加強(qiáng)處理器芯片核心技術(shù)研發(fā),實(shí)現(xiàn)自主知識(shí)產(chǎn)權(quán)體系

一是加強(qiáng)自主芯片技術(shù)的專利布局。在使用專利交叉授權(quán)的基礎(chǔ)上,加強(qiáng)處理器芯片的自主核心技術(shù)研發(fā),組織芯片知識(shí)產(chǎn)權(quán)核開發(fā),建設(shè)國(guó)家級(jí)知識(shí)產(chǎn)權(quán)核庫(kù),提高知識(shí)產(chǎn)權(quán)產(chǎn)品的可復(fù)用性,加快產(chǎn)品研發(fā)。在充分研究國(guó)內(nèi)外市場(chǎng)的前提下,準(zhǔn)確把握芯片技術(shù)的知識(shí)產(chǎn)權(quán)壁壘及自由操作領(lǐng)域,布局海外專利市場(chǎng)。二是建立芯片知識(shí)產(chǎn)權(quán)核標(biāo)準(zhǔn)。強(qiáng)化處理器芯片知識(shí)產(chǎn)權(quán)核測(cè)評(píng)與認(rèn)證系統(tǒng),根據(jù)國(guó)際上芯片知識(shí)產(chǎn)權(quán)核心標(biāo)準(zhǔn)的制定情況,建立與國(guó)內(nèi)集成電路設(shè)計(jì)水平相適應(yīng),科學(xué)完善的知識(shí)產(chǎn)權(quán)核心技術(shù)標(biāo)準(zhǔn)體系,為國(guó)內(nèi)企業(yè)知識(shí)產(chǎn)權(quán)和產(chǎn)品的質(zhì)量和信譽(yù)提供證明,建設(shè)統(tǒng)一的市場(chǎng)競(jìng)爭(zhēng)環(huán)境。三是完善芯片知識(shí)產(chǎn)權(quán)和保護(hù)體系。建設(shè)適合國(guó)內(nèi)知識(shí)產(chǎn)權(quán)商業(yè)模式的交易體系和保護(hù)體系,對(duì)芯片技術(shù)成果采用專利權(quán)、商業(yè)秘密以及集成電路布圖設(shè)計(jì)進(jìn)行多角度保護(hù)。建立國(guó)內(nèi)處理器芯片知識(shí)產(chǎn)權(quán)聯(lián)盟,加強(qiáng)企業(yè)在知識(shí)產(chǎn)權(quán)方面的合作,交互授權(quán),建立企業(yè)共享的知識(shí)產(chǎn)權(quán)池,從而快速增強(qiáng)國(guó)內(nèi)芯片知識(shí)產(chǎn)權(quán)實(shí)力。

進(jìn)一步完善融資體系,創(chuàng)新資源利用方式

第5篇:集成電路布圖設(shè)計(jì)范文

關(guān)鍵詞:全球價(jià)值鏈 產(chǎn)業(yè)集群 集成電路(IC)產(chǎn)業(yè) 產(chǎn)業(yè)優(yōu)化升級(jí)

全球價(jià)值鏈下的產(chǎn)業(yè)升級(jí)理論回顧

隨著科技的發(fā)展,全球化進(jìn)程加快,跨國(guó)公司越來(lái)越注重分解生產(chǎn)鏈,并在全球范圍內(nèi)分配生產(chǎn)鏈,綜合合理利用全球資源,尋求比較優(yōu)勢(shì),從而達(dá)到減低成本的目的。在跨國(guó)公司的這一生產(chǎn)取向下,全球產(chǎn)業(yè)鏈現(xiàn)象越來(lái)越明顯,并在此基礎(chǔ)上產(chǎn)生產(chǎn)品價(jià)值來(lái)源的重新組合,即形成全球價(jià)值鏈。

全球價(jià)值鏈理論根源于20世紀(jì)80年代國(guó)際商業(yè)研究者提出和發(fā)展起來(lái)的價(jià)值鏈理論。從全球價(jià)值鏈角度出發(fā),Kaplinsky(2002)認(rèn)為存在四種不同層次的產(chǎn)業(yè)升級(jí)模式,從低到高、迭次推進(jìn)的工藝流程升級(jí)、產(chǎn)品升級(jí)、產(chǎn)業(yè)功能升級(jí)和鏈條升級(jí)四種方式。

不同層次產(chǎn)業(yè)升級(jí)所要求的技術(shù)水平不同,創(chuàng)新人員涉及的綜合素質(zhì)要求不同,要求其為實(shí)現(xiàn)該層次創(chuàng)新的投入不同,面臨的風(fēng)險(xiǎn)系數(shù)也不同,因此,升級(jí)的空間和帶來(lái)的附加價(jià)值差別也十分巨大。工藝流程升級(jí)可以通過(guò)員工的短期技術(shù)培訓(xùn)、更換新設(shè)備、提高各工廠車間的生產(chǎn)配合等簡(jiǎn)單方式(也可以通過(guò)技術(shù)創(chuàng)新,如福特的汽車流水線)來(lái)實(shí)現(xiàn),因此帶來(lái)的附加價(jià)值最少;產(chǎn)品升級(jí)對(duì)技術(shù)的要求稍高,它需要研發(fā)更新的、更便利的、更實(shí)用的、更加高檔的產(chǎn)品,獲得的附加價(jià)值要高于產(chǎn)品工藝流程升級(jí)所帶來(lái)的附加價(jià)值;功能升級(jí)要改變自身在價(jià)值鏈中的位置,從附加價(jià)值低的部分向附加價(jià)值高的部分轉(zhuǎn)移,從而獲得更高的附加價(jià)值;價(jià)值鏈條升級(jí)要求離開原來(lái)的價(jià)值鏈,尋求新的價(jià)值鏈。

上海IC產(chǎn)業(yè)現(xiàn)狀分析

集成電路產(chǎn)業(yè),即IC產(chǎn)業(yè),已成為引導(dǎo)世界經(jīng)濟(jì)發(fā)展的中堅(jiān),該產(chǎn)業(yè)是否景氣會(huì)引起世界范圍內(nèi)的經(jīng)濟(jì)波動(dòng)。目前世界各主要國(guó)家和地區(qū)將IC產(chǎn)業(yè)作為戰(zhàn)略性產(chǎn)業(yè),并作為重點(diǎn)產(chǎn)業(yè)進(jìn)行扶持和培養(yǎng)。IC產(chǎn)業(yè)是信息產(chǎn)業(yè)發(fā)展的基礎(chǔ)和前提,也是信息產(chǎn)業(yè)的重要組成部分,IC產(chǎn)業(yè)的發(fā)展?fàn)顩r直接決定了該地區(qū)在產(chǎn)業(yè)鏈中的地位和位勢(shì)。IC產(chǎn)業(yè)鏈主要由設(shè)計(jì)、制造、測(cè)試封裝三個(gè)板塊組成,相應(yīng)地,企業(yè)也大致分為設(shè)計(jì)企業(yè)、IC制造企業(yè)、封裝測(cè)試企業(yè)。

20世紀(jì)90年代末以來(lái),在中央以及上海市政府的大力支持下,上海IC產(chǎn)業(yè)抓住世界集成電路產(chǎn)業(yè)結(jié)構(gòu)調(diào)整以及產(chǎn)業(yè)轉(zhuǎn)移的機(jī)遇,大力推進(jìn)外資(特別是臺(tái)資)集成電路產(chǎn)業(yè)向上海進(jìn)行技術(shù)轉(zhuǎn)移。隨著中芯國(guó)際、宏力、泰隆、英特爾和IBM等制造、封裝、測(cè)試項(xiàng)目以及威盛、智原、揚(yáng)智、旭上、芯成等IC設(shè)計(jì)企業(yè)相繼在上海落戶,一條囊括設(shè)計(jì)、光罩、制造、封裝測(cè)試以及設(shè)備、材料等支持服務(wù)在內(nèi)的集成電路產(chǎn)業(yè)鏈初步形成,上海集成電路產(chǎn)業(yè)的整體水平大大提升。

2005年,上海市的集成電路設(shè)計(jì)業(yè)通過(guò)重點(diǎn)建設(shè)國(guó)家集成電路設(shè)計(jì)上海產(chǎn)業(yè)化基地和國(guó)家集成電路設(shè)計(jì)生產(chǎn)力促進(jìn)中心,已在全國(guó)范圍內(nèi)“開創(chuàng)了基地式集群發(fā)展的先河”,綜合發(fā)展能力開始在全國(guó)領(lǐng)先。至2005年11月,上海集成電路設(shè)計(jì)公司的數(shù)量已經(jīng)從2000年初的17家發(fā)展到145家,數(shù)量占全國(guó)總量的25%;上海集成電路設(shè)計(jì)企業(yè)和個(gè)人獲得國(guó)家集成電路布圖設(shè)計(jì)登記,數(shù)量連續(xù)五年保持全國(guó)第一;上海設(shè)計(jì)企業(yè)標(biāo)準(zhǔn)工藝自主設(shè)計(jì)能力已從2000年的0.6微米躍升至2005年的0.13微米;上海集成電路設(shè)計(jì)業(yè)產(chǎn)值已從2000年的2億多元發(fā)展到2004年的11.1億元,年增長(zhǎng)率達(dá)70%;上海集成電路設(shè)計(jì)企業(yè)凝聚了集成電路設(shè)計(jì)專業(yè)人才4000多人。

可見,自20世紀(jì)90年代以來(lái),上海集成電路產(chǎn)業(yè)取得了長(zhǎng)足進(jìn)步。一個(gè)涵蓋設(shè)計(jì)、封裝、測(cè)試等上下游相關(guān)產(chǎn)業(yè)的集成電路產(chǎn)業(yè)鏈框架已形成。

由上可知,上海信息產(chǎn)業(yè)獲得了較快的發(fā)展,但在世界信息產(chǎn)業(yè)鏈上的地位不高。按照附加價(jià)值高低可以將全球價(jià)值鏈分成四部分:第一部分為美國(guó)及部分歐洲國(guó)家所處的產(chǎn)業(yè)價(jià)值鏈的高端,他們擁有品牌,負(fù)責(zé)標(biāo)準(zhǔn)制定和產(chǎn)品研發(fā)以及系統(tǒng)集成,控制著核心產(chǎn)品和新產(chǎn)品的生產(chǎn);第二部分為日本所處的次高端,日本是世界電子信息產(chǎn)業(yè)的第二大國(guó),是世界消費(fèi)電子產(chǎn)品的霸主,在微電子、光電子產(chǎn)品以及計(jì)算機(jī)方面僅次于美國(guó),并具備較強(qiáng)的研發(fā)能力,尤其擁有精湛的生產(chǎn)工藝;第三部分為韓國(guó)、新加坡以及中國(guó)臺(tái)灣地區(qū)等新興國(guó)家和地區(qū),他們處于產(chǎn)業(yè)價(jià)值鏈的中端,經(jīng)過(guò)積累,他們已具備較好的生產(chǎn)技術(shù),正發(fā)展成為集成電路等部分關(guān)鍵元器件的生產(chǎn)基地,并生產(chǎn)部分高端產(chǎn)品和新產(chǎn)品;而上海地區(qū)仍然處于產(chǎn)業(yè)價(jià)值鏈的低端,主要從事一般元器件的生產(chǎn)以及整機(jī)的加工和組裝。

價(jià)值鏈在全球化的同時(shí),本身的構(gòu)成也發(fā)生著變化,使得價(jià)值鏈低端附加值低的部分更加邊緣化,進(jìn)一步降低該部分的附加值,相應(yīng)地,高端部分附加值進(jìn)一步提高,價(jià)值鏈弧度收縮,導(dǎo)致國(guó)際國(guó)內(nèi)收入差距進(jìn)一步擴(kuò)大。國(guó)際上,隨著跨國(guó)公司外包低價(jià)值鏈附加值部分,發(fā)達(dá)國(guó)家獲取的收益越來(lái)越高,發(fā)展中國(guó)家的利益越來(lái)越少。

從國(guó)內(nèi)來(lái)看,掌握大量資本和先進(jìn)技術(shù)的群體可以從全球搜索利潤(rùn),而勞動(dòng)者由于低端產(chǎn)業(yè)轉(zhuǎn)移面臨就業(yè)困境。這就使得上海信息產(chǎn)業(yè)的進(jìn)一步發(fā)展面臨嚴(yán)峻的挑戰(zhàn)。

上海IC產(chǎn)業(yè)發(fā)展存在的問(wèn)題

從上海市近年來(lái)IC產(chǎn)業(yè)的發(fā)展?fàn)顩r來(lái)看,上海市已經(jīng)成為我國(guó)IC產(chǎn)業(yè)的支柱之一;然而,從上海IC產(chǎn)業(yè)產(chǎn)值的分布來(lái)看,其產(chǎn)業(yè)結(jié)構(gòu)不盡合理,發(fā)展面臨眾多挑戰(zhàn)。

(一)缺乏技術(shù)創(chuàng)新能力且對(duì)外依賴度高

上海IC產(chǎn)業(yè)區(qū)技術(shù)提升的主要來(lái)源并不是大規(guī)模的自主研究和開發(fā)創(chuàng)新,F(xiàn)DI和眾多跨國(guó)企業(yè)成為上海IC產(chǎn)業(yè)的主要資金供應(yīng)渠道和技術(shù)創(chuàng)新源泉,上海IC產(chǎn)業(yè)因尚未掌握核心技術(shù),而在國(guó)際技術(shù)標(biāo)準(zhǔn)上受制于人。信息產(chǎn)業(yè)技術(shù)處于主導(dǎo)地位的發(fā)達(dá)國(guó)家,為了保護(hù)自己對(duì)技術(shù)的壟斷地位和國(guó)際競(jìng)爭(zhēng)力,對(duì)出口技術(shù)和設(shè)備都嚴(yán)格控制。

例如上海集成電路產(chǎn)業(yè)是通過(guò)引進(jìn)中國(guó)臺(tái)灣的技術(shù)和資金建立起來(lái)的,一方面使上海集成電路產(chǎn)業(yè)在相對(duì)短時(shí)間內(nèi)形成規(guī)模,并使中國(guó)大陸集成電路制造水平縮短了與國(guó)際先進(jìn)技術(shù)的差距;另一方面使上海以集成電路為核心的信息技術(shù)產(chǎn)業(yè)進(jìn)一步發(fā)展有賴于引進(jìn)更多的臺(tái)灣技術(shù),形成技術(shù)發(fā)展過(guò)程中明顯的“路徑依賴”。

在技術(shù)的自主創(chuàng)新方面,上海研究開發(fā)費(fèi)用R&D占GDP對(duì)信息產(chǎn)業(yè)增加值的關(guān)聯(lián)度不夠,對(duì)信息產(chǎn)業(yè)增加值的拉動(dòng)作用不大。要維持信息產(chǎn)業(yè)的持續(xù)高速增長(zhǎng),上海在R&D經(jīng)費(fèi)的投入量、密集度、分配比例、人均研究經(jīng)費(fèi)、投入結(jié)構(gòu)等方面要重點(diǎn)加強(qiáng)研究。上海地區(qū)IC產(chǎn)業(yè)的進(jìn)一步發(fā)展要突破技術(shù)的瓶頸,仍然要充分利用國(guó)際技術(shù)擴(kuò)散機(jī)制吸收、學(xué)習(xí)和積累技術(shù),并提高自身的技術(shù)創(chuàng)新能力,逐步縮小甚至消除技術(shù)差距。

(二)產(chǎn)業(yè)結(jié)構(gòu)不合理

上海ic產(chǎn)業(yè)結(jié)構(gòu)不盡合理,自主創(chuàng)新能力弱,產(chǎn)品檔次及增值含量低,而且大都集中在中低檔次的消費(fèi)類電子應(yīng)用領(lǐng)域,大多局限于進(jìn)口代替的仿制產(chǎn)品類,同時(shí)缺乏個(gè)性技術(shù)及產(chǎn)品,滿足不了也跟不上應(yīng)用市場(chǎng)的需求。

(三)缺乏吸引高素質(zhì)人才的機(jī)制

上海ic產(chǎn)業(yè)對(duì)高素質(zhì)人才的吸納力度仍然欠缺。產(chǎn)業(yè)發(fā)展不僅取決于本地高校和在校生的多寡,更重要的如何能夠吸引并留住人才。黃維德、陳萬(wàn)思(2005)關(guān)于上海信息產(chǎn)業(yè)人才流動(dòng)的調(diào)查顯示,信息產(chǎn)業(yè)人才供給的增長(zhǎng)速度滯后于產(chǎn)業(yè)的發(fā)展速度,復(fù)合型技術(shù)管理人才極為短缺。在高強(qiáng)度的工作壓力下,信息人才所享受的薪酬吸引力不夠,獲得的培訓(xùn)機(jī)會(huì)不多,工作滿意度不高。企業(yè)缺乏對(duì)人力資本投資的足夠重視,使人才高度流動(dòng)難以長(zhǎng)期沉淀和集聚。

(四)產(chǎn)業(yè)發(fā)展融資方式不足

作為知識(shí)經(jīng)濟(jì)的核心,ic產(chǎn)業(yè)發(fā)展過(guò)程中需要巨額資本投入,特別是對(duì)r&d的投資,但目前上海ic產(chǎn)業(yè)由于資本短缺、融資困難,許多新技術(shù)、新產(chǎn)品因缺少資本支持而不能投入生產(chǎn)、經(jīng)營(yíng)和產(chǎn)業(yè)化,錯(cuò)失市場(chǎng)機(jī)會(huì)。

促進(jìn)上海ic產(chǎn)業(yè)升級(jí)的對(duì)策

綜上所述,ic產(chǎn)業(yè)價(jià)值鏈上附加價(jià)值最高的部分是研發(fā)過(guò)程和市場(chǎng)營(yíng)銷過(guò)程。國(guó)際上也普遍認(rèn)為電子信息產(chǎn)業(yè)及其價(jià)值鏈發(fā)展不可或缺的基礎(chǔ)和保障條件是ic產(chǎn)業(yè)的發(fā)展。為促進(jìn)上海ic產(chǎn)業(yè)快速發(fā)展,并提高其產(chǎn)品國(guó)際競(jìng)爭(zhēng)力,本文提出以下對(duì)策:

(一)提升產(chǎn)品附加價(jià)值以提高其國(guó)際競(jìng)爭(zhēng)力

從上海ic產(chǎn)業(yè)在世界產(chǎn)業(yè)鏈中的地位及產(chǎn)業(yè)升級(jí)層次來(lái)看,上海ic產(chǎn)業(yè)在價(jià)值鏈上實(shí)現(xiàn)了工藝流程升級(jí)和部分的產(chǎn)品升級(jí),取得了一定的成功,帶動(dòng)了全國(guó)ic產(chǎn)業(yè)的發(fā)展。隨著價(jià)值鏈構(gòu)成的變化,工藝流程升級(jí)和產(chǎn)品升級(jí)附加價(jià)值提升空間縮小,升級(jí)難度系數(shù)也不斷增大,只有轉(zhuǎn)向功能升級(jí)和價(jià)值鏈條升級(jí),才能進(jìn)一步提升附加價(jià)值,提高在全球產(chǎn)業(yè)鏈中的地位和位勢(shì),提高ic產(chǎn)品國(guó)際競(jìng)爭(zhēng)力,加快國(guó)家信息產(chǎn)業(yè)的發(fā)展。

(二)加強(qiáng)政府扶持力度

第6篇:集成電路布圖設(shè)計(jì)范文

EDA是電子設(shè)計(jì)自動(dòng)化(ElectronicDesignAutomation)的縮寫,是從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助測(cè)試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來(lái)的。EDA技術(shù)是以計(jì)算機(jī)為工具,集數(shù)據(jù)庫(kù)、圖形學(xué)、圖論與拓?fù)溥壿嫛⒂?jì)算數(shù)學(xué)、優(yōu)化理論等多學(xué)科最新理論于一體,是計(jì)算機(jī)信息技術(shù)、微電子技術(shù)、電路理論、信息分析與信號(hào)處理的結(jié)晶。

2EDA技術(shù)的發(fā)展過(guò)程

EDA技術(shù)的發(fā)展過(guò)程反映了近代電子產(chǎn)品設(shè)計(jì)技術(shù)的一段歷史進(jìn)程,大致分為3個(gè)時(shí)期。

1)初級(jí)階段:早期階段即是CAD(ComputerAssistDesign)階段,大致在20世紀(jì)70年代,當(dāng)時(shí)中小規(guī)模集成電路已經(jīng)出現(xiàn),傳統(tǒng)的手工制圖設(shè)計(jì)印刷電路板和集成電路的方法效率低、花費(fèi)大、制造周期長(zhǎng)。

人們開始借助于計(jì)算機(jī)完成印制電路板一PCB設(shè)計(jì),將產(chǎn)品設(shè)計(jì)過(guò)程中高重復(fù)性的繁雜勞動(dòng)如布圖布線工作用二維平面圖形編輯與分析的CAD工具代替,主要功能是交互圖形編輯,設(shè)計(jì)規(guī)則檢查,解決晶體管級(jí)版圖設(shè)計(jì)、PCB布局布線、門級(jí)電路模擬和測(cè)試。

2)發(fā)展階段:20世紀(jì)80年代是EDA技術(shù)的發(fā)展和完善階段,即進(jìn)入到CAE(ComputerAssistEngineeringDesign)階段。由于集成電路規(guī)模的逐步擴(kuò)大和電子系統(tǒng)的日趨復(fù)雜,人們進(jìn)一步開發(fā)設(shè)計(jì)軟件,將各個(gè)CAD工具集成為系統(tǒng),從而加強(qiáng)了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),該時(shí)期的EDA技術(shù)已經(jīng)延伸到半導(dǎo)體芯片的設(shè)計(jì),生產(chǎn)出可編程半導(dǎo)體芯片。

3)成熟階段:20世紀(jì)90年代以后微電子技術(shù)突飛猛進(jìn),一個(gè)芯片上可以集成幾百萬(wàn)、幾千萬(wàn)乃至上億個(gè)晶體管,這給EDA技術(shù)提出了更高的要求,也促進(jìn)了EDA技術(shù)的大發(fā)展。各公司相繼開發(fā)出了大規(guī)模的EDA軟件系統(tǒng),這時(shí)出現(xiàn)了以高級(jí)語(yǔ)言描述、系統(tǒng)級(jí)仿真和綜合技術(shù)為特征的EDA技術(shù)。

3EDA技術(shù)的特點(diǎn)

EDA技術(shù)代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是采用高級(jí)語(yǔ)言描述,即硬件描述語(yǔ)言HDL(HardwareDescriptionLanguage),就是可以描述硬件電路的功能。信號(hào)連接關(guān)系及定時(shí)關(guān)系的語(yǔ)言。它比電原理圖更有效地表示硬件電路的特性,同時(shí)具有系統(tǒng)仿真和綜合能力,具體歸納為以下幾點(diǎn):

1)現(xiàn)代化EDA技術(shù)大多采用“自頂向下(Top-Down)”的設(shè)計(jì)程序,從而確保設(shè)計(jì)方案整體的合理和優(yōu)化,避免“自底向上(Bottom-up)”設(shè)計(jì)過(guò)程使局部?jī)?yōu)化,整體結(jié)構(gòu)較差的缺陷。

2)HDL給設(shè)計(jì)帶來(lái)很多優(yōu)點(diǎn):①語(yǔ)言公開可利用;②語(yǔ)言描述范圍寬廣;③使設(shè)計(jì)與工藝無(wú)關(guān);④可以系統(tǒng)編程和現(xiàn)場(chǎng)編程,使設(shè)計(jì)便于交流、保存、修改和重復(fù)使用,能夠?qū)崿F(xiàn)在線升級(jí)。

3)自動(dòng)化程度高,設(shè)計(jì)過(guò)程中隨時(shí)可以進(jìn)行各級(jí)的仿真、糾錯(cuò)和調(diào)試,使設(shè)計(jì)者能早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯(cuò)誤,避免設(shè)計(jì)工作的浪費(fèi),同時(shí)設(shè)計(jì)人員可以拋開一些具體細(xì)節(jié)問(wèn)題,從而把主要精力集中在系統(tǒng)的開發(fā)上,保證設(shè)計(jì)的高效率、低成本,且產(chǎn)品開發(fā)周期短、循環(huán)快。

4)可以并行操作,現(xiàn)代EDA技術(shù)建立了并行工程框架結(jié)構(gòu)的工作環(huán)境。從而保證和支持多人同時(shí)并行地進(jìn)行電子系統(tǒng)的設(shè)計(jì)和開發(fā)。

4EDA技術(shù)的作用

EDA技術(shù)在電子工程設(shè)計(jì)中發(fā)揮著不可替代的作用,主要表現(xiàn)在以下幾個(gè)方面:

4.1驗(yàn)證電路設(shè)計(jì)方案的正確性

設(shè)計(jì)方案確定之后,首先采用系統(tǒng)仿真或結(jié)構(gòu)模擬的方法驗(yàn)證設(shè)計(jì)方案的可行性,這只要確定系統(tǒng)各個(gè)環(huán)節(jié)的傳遞函數(shù)(數(shù)學(xué)模型)便可實(shí)現(xiàn)。這種系統(tǒng)仿真技術(shù)可推廣應(yīng)用于非電專業(yè)的系統(tǒng)設(shè)計(jì),或某種新理論、新構(gòu)思的設(shè)計(jì)方案。仿真之后對(duì)構(gòu)成系統(tǒng)的各電路結(jié)構(gòu)進(jìn)行模擬分析,以判斷電路結(jié)構(gòu)設(shè)計(jì)的正確性及性能指標(biāo)的可實(shí)現(xiàn)性。這種量化分析方法對(duì)于提高工程設(shè)計(jì)水平和產(chǎn)品質(zhì)量,具有重要的指導(dǎo)意義。

4.2電路特性的優(yōu)化設(shè)計(jì)

元器件的容差和工作環(huán)境溫度將對(duì)電路的穩(wěn)定性產(chǎn)生影響。傳統(tǒng)的設(shè)計(jì)方法很難對(duì)這種影響進(jìn)行全面的分析,也就很難實(shí)現(xiàn)整體的優(yōu)化設(shè)計(jì)。EDA技術(shù)中的溫度分析和統(tǒng)計(jì)分析功能可以分析各種溫度條件下的電路特性,便于確定最佳元件參數(shù)、最佳電路結(jié)構(gòu)以及適當(dāng)?shù)南到y(tǒng)穩(wěn)定裕度,真正做到優(yōu)化設(shè)計(jì)。

4.3實(shí)現(xiàn)電路特性的模擬測(cè)試

電子電路設(shè)計(jì)過(guò)程中,大量的工作是數(shù)據(jù)測(cè)試和特性分析。但是受測(cè)試手段和儀器精度所限,測(cè)試問(wèn)題很多。采用EDA技術(shù)后,可以方便地實(shí)現(xiàn)全功能測(cè)試。

5EDA技術(shù)的軟件

目前EDA技術(shù)的軟件很多,如EWB、PROTELL等。

1)EWB(ElectronicsWorkbench)軟件。EWB是基于PC平臺(tái)的電子設(shè)計(jì)軟件,由加拿大InteractiveImageTechnologiesLtd.公司研制開發(fā),該軟件具有以下特點(diǎn):①集成化工具:一體化設(shè)計(jì)環(huán)境可將原理圖編輯、SPICE仿真和波形分析、仿真電路的在線修改、選用虛擬儀器、借助14種分析工具輸出結(jié)果等操作在一個(gè)集成系統(tǒng)中完成。②仿真器:交互式32位SPICE強(qiáng)化支持自然方式的模擬、數(shù)字和數(shù)/?;旌显?。自動(dòng)插入信號(hào)轉(zhuǎn)換界面,支持多級(jí)層次化元件的嵌套,對(duì)電路的大小和復(fù)雜沒有限制。只有提供原理圖網(wǎng)絡(luò)表和輸入信號(hào),打開仿真開關(guān)就會(huì)在一定的時(shí)間內(nèi)將仿真結(jié)果輸出。③原理圖輸入:鼠標(biāo)點(diǎn)擊一拖動(dòng)界面,點(diǎn)一點(diǎn)自動(dòng)連線。分層的工作環(huán)境,手工調(diào)整元器件時(shí)自動(dòng)重排線路,自動(dòng)分配元器件的參考編號(hào),對(duì)元器件尺寸大小沒有限制。④分析:虛擬測(cè)試設(shè)備能提供快捷、簡(jiǎn)單的分析。主要包括直流工作點(diǎn)、瞬態(tài)、交流頻率掃描、付立葉、噪聲、失真度、參數(shù)掃描、零極點(diǎn)、傳遞函數(shù)、直流靈敏度、最差情況、蒙特卡洛法等14種分析工具,可以在線顯示圖形并具有很大的靈活性。⑤設(shè)計(jì)文件夾:同時(shí)儲(chǔ)存所有的設(shè)計(jì)電路信息,包括電路結(jié)構(gòu)、SHCE參數(shù)、所有使用模型的設(shè)置和拷貝。全部存放在一個(gè)設(shè)計(jì)文件中,便于設(shè)計(jì)數(shù)據(jù)共享以及丟失或損壞的數(shù)據(jù)恢復(fù)。⑥接口:標(biāo)準(zhǔn)的SPICE網(wǎng)表,既可以輸入其他CAD生成的SHCE網(wǎng)絡(luò)連接表并行成原理圖供EWB使用,也可以將原理圖輸出到其他PCS工具中直接制作線路板。

2)PROTEL軟件。廣泛應(yīng)用的Protel99主要分為兩大部分:用于電路原理圖的設(shè)計(jì)原理圖設(shè)計(jì)系統(tǒng)(AdvancedSchematic)和用于印刷電路板設(shè)計(jì)的印刷電路板設(shè)計(jì)系統(tǒng)(AdvancedPCB)。

第7篇:集成電路布圖設(shè)計(jì)范文

關(guān)鍵詞:無(wú)人機(jī);AC/DC電源;電源小型化設(shè)計(jì);PCB

中圖分類號(hào):TN710 文獻(xiàn)標(biāo)識(shí)碼:B 文章編號(hào):1004-373X(2008)02-079-02

The Minimize Designing for AC/DC Converter of UAV

LIU Changliang,LI Chunjun,CHENG Jian

(UAV Mechano-electrical Teaching Room,Wuhan Mechanical Technology Academy,Wuhan,430075,China)オ

Abstract:The requirements of development of AC/DC converter in UAV is introduced.In this paper,the difficulty of minimize design for this converter is analyzed.Using the system optimization design method to research the components of converter,by components replacing,structure rebuilding,circuits integrating,AC/DC converter is minimized and kept the cost low.Making it more effective and more flexible is vital for promoting the capability of UAV.

Keywords:UAV;AC/DC converter;electric power;minimize design;PCBオ

1 引 言

無(wú)人機(jī)機(jī)載AC/DC電源輸入發(fā)電機(jī)產(chǎn)生的交流電,經(jīng)整流、濾波后得到滿足儀器要求的直流電。無(wú)人機(jī)系統(tǒng)功能的不斷增強(qiáng)對(duì)其AC/DC電源也提出了向輕、小、薄、低噪聲、高可靠、抗干擾發(fā)展的要求,而在該電源系統(tǒng)中,體積相對(duì)較大的濾波電容器是必不可少的,同時(shí)因遇到安全標(biāo)準(zhǔn)(如UL,CCEE等)及EMC指令的限制(如IEC,F(xiàn)CC,CSA),交流輸入側(cè)必須加EMC濾波及使用符合安全標(biāo)準(zhǔn)的元件,這樣就更加限制了電源體積的小型化。

另外,由于內(nèi)部的高頻、高壓、大電流開關(guān)動(dòng)作,使得解決EMC電磁兼容問(wèn)題難度加大,同時(shí)電源的工作消耗增大,也限制了AC/DC變換器模塊化的進(jìn)程,必須采用系統(tǒng)優(yōu)化設(shè)計(jì)方法才能滿足小型化的實(shí)際需要。

2 設(shè)計(jì)的主要目標(biāo)分析

電源功效必須盡可能的高 雖然在實(shí)際應(yīng)用中最耗能的是微處理器,但是技術(shù)的進(jìn)步仍然要求電源進(jìn)一步提高功效。功效越高所需的散熱片就越小,才能節(jié)省系統(tǒng)空間。

電源成本必須盡可能的低 無(wú)論是原材料成本還是制造復(fù)雜性方面??紤]功能的簡(jiǎn)單設(shè)計(jì)是重要因素。控制和報(bào)警信號(hào)、和同類設(shè)備的均流以及在各種交流輸入情況下保持穩(wěn)定性能等都是非常重要的。

由圖1所示的AC/DC電源電路結(jié)構(gòu)框圖可以看出,要在保證性能和功能的前提下將電源的大小和成本最小化至少應(yīng)從以下幾個(gè)方面入手。

2.1 使用兩階式輸入濾波器

使用一個(gè)兩階式濾波器可以使電源外形最小化,并實(shí)現(xiàn)高共模和微分降噪。如果垂直堆疊組件,則可以節(jié)省板空間,同時(shí)改進(jìn)了冷卻。

2.2 在功率因數(shù)校正電路(PFC)中考慮采用碳化硅二極管

由于碳化硅二極管的成本有所下降,可以將其作為降低成本和電源大小的一個(gè)途徑。碳化硅二極管的反向電流特性使系統(tǒng)可以不需要緩沖電路,因此可以節(jié)省5~6個(gè)組件。同時(shí)由于碳化硅二極管的應(yīng)用,功效可提高1%。若使用階梯感應(yīng)器,則可在高輸入線時(shí)提供高感應(yīng),在低輸入線時(shí)支持最可能大的流量密度。在輸入范圍中使用連續(xù)感應(yīng)模式(CCM)操作,可以保持最小的峰值轉(zhuǎn)換電流和輸入濾波器要求。

2.3 在主變流器中采用新型材料建構(gòu)諧振拓?fù)?/p>

在該電路中使用諧振拓?fù)淇梢曰鞠_關(guān)損耗。在功率晶體管中以陶瓷基片代替金屬基片可以減少噪音,并因此簡(jiǎn)化濾波過(guò)程。這是因?yàn)樯崞瑳]有與開關(guān)MOSFETS的損耗相耦合的電容。這樣不僅提高電源效率,而且使電源可以使用更小的散熱片。另外,使用陶瓷散熱片時(shí)的爬電距離比金屬散熱片所需的距離要短,這就進(jìn)一步節(jié)省PCB板的空間。

2.4 使用開關(guān)式MOSFETS代替?zhèn)鹘y(tǒng)的輸出整流器

開關(guān)式MOSFETS同步整流可以極大地降低功耗,從而提高功效。比如,一個(gè)正向電壓為0.5 V的二極管在20 A時(shí)的功耗為10 W。而如果使用一個(gè)開啟時(shí)電阻為14 MΩ的MOSFET,功耗最大只有5.6 W,與二極管的功耗相比小44%。這里也可以用陶瓷陶瓷基片來(lái)代替?zhèn)鹘y(tǒng)的散熱片。

2.5 采用集成化的控制電路

集成化控制電路可以減少組件數(shù)量、降低制造成本并節(jié)省PCB板空間,因此,即使集成電路本身比離散組件更昂貴,從衡量電源的體積大小以及整體性能上來(lái)考慮,運(yùn)用集成電路也是合理的。例如IR1150這種PFC芯片作為單循環(huán)控制(OCC)設(shè)備使用,就可以在保持電源系統(tǒng)性能的同時(shí)大大減少元組件數(shù)量。

同樣,可以通過(guò)特殊應(yīng)用芯片來(lái)進(jìn)行主轉(zhuǎn)換器電壓控制、過(guò)電流保護(hù)、過(guò)電壓保護(hù)和過(guò)溫度保護(hù),并控制輸出整流器轉(zhuǎn)換。另外,還可以通過(guò)同步單啟動(dòng)分源、借助邏輯控制關(guān)閉電源的抑制電路、“電源狀態(tài)良好”信號(hào)、備用轉(zhuǎn)換器控制功能等控制渠道來(lái)提高應(yīng)用的靈活性。當(dāng)交流電源存在時(shí),備用轉(zhuǎn)換器可以單獨(dú)提供5 V的輸出。

3 優(yōu)化PCB板的設(shè)計(jì)與制作

根據(jù)從原理圖到PCB板的設(shè)計(jì)制定科學(xué)流程,如圖2所示。

需要注意如下事項(xiàng):

(1) 濾波電容、電源開關(guān)或整流器、電感或變壓器應(yīng)彼此相鄰地進(jìn)行放置,調(diào)整元件位置使他們之間的電流路徑盡可能短。

(2) 建立開關(guān)電源布局應(yīng)按照如下的流程:放置變壓器、設(shè)計(jì)電源開關(guān)電流回路、設(shè)計(jì)輸出整流器電流回路、連接到交流電源電路的控制電路、設(shè)計(jì)輸入電流源回路和輸入濾波器、設(shè)計(jì)輸出負(fù)載回路和輸出濾波器。

(3) 根據(jù)電路的功能單元,對(duì)電路的全部元器件進(jìn)行布局要考慮PCB尺寸大小,放置器件時(shí)要考慮焊接;以每個(gè)功能電路的核心元件為中心進(jìn)行布局。

(4) 元器件均勻、整齊、緊湊地排列在PCB上,盡量減少和縮短各元器件之間的引線和連接,去耦電容盡量靠近器件的VCC。在高頻下工作的電路,要考慮元器件之間的分布參數(shù)。一般電路應(yīng)盡可能地使元器件平行排列,美觀且易焊裝。

(5) 按照電路的流程安排各個(gè)功能電路單元的位置,使布局便于信號(hào)流通,并且使信號(hào)盡可能保持方向一致。布局的首要原則是保證布線的布通率,移動(dòng)器件時(shí)注意飛線的連接,把有連線關(guān)系的器件放在一起。盡可能地減小環(huán)路面積以抑制開關(guān)電源的輻射干擾。

(6) 復(fù)查PCB的內(nèi)容包括設(shè)計(jì)規(guī)則、層定義、線寬、間距、焊盤、過(guò)孔設(shè)置,還要重點(diǎn)復(fù)查器件布局的合理性,電源、地線網(wǎng)絡(luò)的走線,高速時(shí)鐘網(wǎng)絡(luò)的走線與屏蔽,去耦電容的擺放和連接等。

通過(guò)上述系統(tǒng)層面上的分析,立足于現(xiàn)有技術(shù),在最大可能的程度上縮小了AC/DC電源的體積,同時(shí)保持了電源的制造成本。如要繼續(xù)取得突破,則須在基礎(chǔ)電子元件的研發(fā)上下功夫。

參 考 文 獻(xiàn)

[1]Jiayuan Fang,Jin Zhao.The Power of Planes - Low Impedance Power Delivery over Broad Frequencies[J].Printed Circuit Design & Manufacturing Magazine,2003.

[2]顧海州,馬雙武.PCB電磁兼容技術(shù)[CD2]設(shè)計(jì)實(shí)踐[M].北京:清華大學(xué)出版社,2004.

第8篇:集成電路布圖設(shè)計(jì)范文

法律依據(jù):

《中華人民共和國(guó)民法典》第一百二十三條,民事主體依法享有知識(shí)產(chǎn)權(quán)。

知識(shí)產(chǎn)權(quán)是權(quán)利人依法就下列客體享有的專有的權(quán)利:

(一)作品;

(二)發(fā)明、實(shí)用新型、外觀設(shè)計(jì);

(三)商標(biāo);

(四)地理標(biāo)志;

(五)商業(yè)秘密;

(六)集成電路布圖設(shè)計(jì);

(七)植物新品種;

第9篇:集成電路布圖設(shè)計(jì)范文

企業(yè)簡(jiǎn)介

福州瑞芯微電子有限公司系國(guó)家認(rèn)定的高新技術(shù)企業(yè)和集成電路設(shè)計(jì)企業(yè),專業(yè)從事數(shù)字音視頻、移動(dòng)多媒體SoC芯片設(shè)計(jì)。公司產(chǎn)品主要用于個(gè)人移動(dòng)信息終端MID、平板電腦、手機(jī)、電子書、信息機(jī)和PMP等。2006年至2008年,連續(xù)三年獲得由信息產(chǎn)業(yè)部頒發(fā)的中國(guó)半導(dǎo)體設(shè)計(jì)領(lǐng)域的最高榮譽(yù)“中國(guó)芯”評(píng)選的最佳市場(chǎng)表現(xiàn)獎(jiǎng)。2009年獲“中國(guó)芯”評(píng)選的最佳設(shè)計(jì)企業(yè)獎(jiǎng)。

芯片概述

瑞芯RK2818方案采用了ARM(微處理器)+DSP(數(shù)字信號(hào)處理器)+GPU(圖形處理器)的芯片架構(gòu),65納米生產(chǎn)工藝,支持流行的Android 2.1R2(Eclair)版本操作系統(tǒng),并將在后期提供更新的2.2版本升級(jí)支持;RK2818方案選用DDRII內(nèi)存,可支持128MB-5 12MB容量,軟件運(yùn)行流暢度顯著提升,并支持24bitECC MLC閃存(2G-32GB容量)。瑞芯RK2818支持800×480,800×600,1024×600分辨率觸摸屏,支持YouTube與其它在線視頻、兼容720P高清播放,并支持HTML5視頻播放、高速網(wǎng)頁(yè)瀏覽與下載功能,滿足用戶對(duì)于Web2.0網(wǎng)絡(luò)應(yīng)用的需求;在擴(kuò)展方面,RK2818支持Wi-Fi/3G模塊(TD-SCDMA,WCDMA,CDMA2000IXEV-DO),覆蓋各類無(wú)線網(wǎng)絡(luò)模式,同時(shí)兼容2.0OTG與1.1HOST,并支持拍照和攝像功能,適應(yīng)市場(chǎng)的差異化需要。

芯片封裝形式:BGA 324pin

生產(chǎn)工藝:65納米生產(chǎn)工藝

主要功能和技術(shù)指標(biāo)

架構(gòu):RK2818(ARM+DSP+GPU)660MHz:

操作系統(tǒng):Android 2.1R2(Eclair);

GMAP:支持(google);

GOOGLE MARKET:支持(google);

IM(Gtalk):支持(google)

Gmail:支持(google)

瀏覽:支持高速瀏覽器上網(wǎng)和下載功能;

3G:支持內(nèi)置和外置3G模塊(TD,WCDMA,EVDO);

在線視頻:支持土豆,優(yōu)酷的視頻在線播放,支持HTML5視頻播放,如live.省略;

全系列音頻格式:包括MP3/WMA/APE/FLAC/AAC/OGG/AC3/WAV;

視頻格式支持:Max.1280*720 MKV(H.264HP)AVI RM/RMVB FLV WMV9 MP4;

圖片格式支持:Max.8000x8000 JPEG BMPGIFPNG;

第三方軟件支持:QQ,MSN,IREAD,OFFICE,GAME等大量第三方軟件支持;

升級(jí)功能:支持工具及在線升級(jí)等;

支持wifi通話:可以借助第三方軟件,也可以自行構(gòu)建協(xié)議和服務(wù)器實(shí)現(xiàn);

USB:兼容2.0 OTG與1.1HOST。

本產(chǎn)品所獲得的專利

已受理專利3項(xiàng)

二、硅谷數(shù)模半導(dǎo)體(北京)有限公司――超低功耗HDM 11.3發(fā)送芯片 ANX7150

企業(yè)簡(jiǎn)介

硅谷數(shù)模半導(dǎo)體于2002年成立,公司研發(fā)中心位于北京中關(guān)村,目前擁有員工100余人,80%以上具有碩士以上學(xué)歷,研發(fā)工程師大多來(lái)自清華大學(xué)、北京大學(xué)、中科院等高等院校。硅谷數(shù)模成立至今,得到了海內(nèi)外諸多風(fēng)險(xiǎn)投資的支持,并以此為依托獲得了迅猛、巨大的發(fā)展速度。

硅谷數(shù)模主要為通信、PC、消費(fèi)電子類廠商,提供高速接口芯片,從而克服其原有系統(tǒng)在帶寬和成本上的瓶頸,極大限度地提高系統(tǒng)性能。迄今為止,硅谷數(shù)模的產(chǎn)品線已經(jīng)覆蓋面向數(shù)字電視等的高清多媒體接口HDMI,面向PC等設(shè)備的下一代顯示接口DisplayPort,面向背板及系統(tǒng)問(wèn)的高速互聯(lián)的6.25Gbps SerDes,面向以太網(wǎng)的長(zhǎng)距離傳輸?shù)拈L(zhǎng)距離以太網(wǎng)PHY和IP解決方案。

芯片概述

硅谷數(shù)模半導(dǎo)體(Analogix Semiconductor)針對(duì)便攜式媒體設(shè)備推出超低功耗HDM11.3傳輸器芯片――ANX7150。ANX7150基于Analogix的CircuitDesign專利技術(shù),將功耗降到了同類型芯片的10%以下,該芯片輸出480p的視頻時(shí)只有1roW,而在輸出720p/1080i的視頻時(shí)功耗也同樣僅為2mW。ANX7150將HDMI接口芯片的功耗大大降低,使HDMI接口得以運(yùn)用于便攜式電子產(chǎn)品中。

動(dòng)輒幾百毫瓦的功耗問(wèn)題一直是便攜式產(chǎn)品在引用HDMI接口的主要技術(shù)瓶頸,該技術(shù)回收HDMI鏈路中一般會(huì)浪費(fèi)掉的電力,并重新利用,使得芯片無(wú)需從設(shè)備電池中吸取能量,不耗損電池壽命,延遲設(shè)備續(xù)航時(shí)間。

芯片封裝形式:48 pin QFN,80 pin LQFP,81baliBGA

生產(chǎn)工藝:TSMC 0.18μm工藝

主要功能和技術(shù)指標(biāo)

NX7150在擁有極低的功耗和極強(qiáng)的兼容性的同時(shí),支持HDM11.3和HDCP1.2標(biāo)準(zhǔn),性能優(yōu)越足以支持便攜類電子的要求,其主要特性如下:

1.支持1080p,支持像素時(shí)鐘高達(dá)165Mpixel/s;

2.24位數(shù)字視頻輸入模式,支持24-bit RGB/YCbCr4:4:4,16/20/24-bit YCbCr 4:2:2,8/10/12-bitYC Mux(ITU.601and 656)等多種模式;

3.支持多種先進(jìn)的數(shù)字音頻,包括S/PDIF(PCM,Dolby Digital,DTS),8聲道12S(DolbyDigital和DVD―Audio),6聲道1-bit音頻;

4.每鏈路7.5 Gbps帶寬

5.向后兼容DV11.1標(biāo)準(zhǔn);

6.支持HDCP1.2內(nèi)容保護(hù);

7.軟件可調(diào)的電源管理;

8.內(nèi)建的自測(cè)試功能;

9.可編程的信號(hào)輸出幅度調(diào)節(jié)和預(yù)加重功能:

10.支持1.8V和3.3V電壓;

11.封裝規(guī)格為:48-pin QFN(6*6mm),80-pinTQFP(14*14mm),和81-pin BGA(4.2*4.2mm):

本產(chǎn)品獲獎(jiǎng)情況

曾榮獲第四屆(2009年度)中國(guó)半導(dǎo)體創(chuàng)新產(chǎn)品和技術(shù)獎(jiǎng)集成電路產(chǎn)品和技術(shù)獎(jiǎng)項(xiàng)。

三、北京君正集成電路有限公司――應(yīng)用處理器JZ4760

企業(yè)簡(jiǎn)介

北京君正集成電路股份有限公司于2005年在北京市中關(guān)村科技園區(qū)成立,自成立以來(lái)始終致力于國(guó)產(chǎn)創(chuàng)新CPU技術(shù)和處理器芯片的研制和產(chǎn)業(yè)化,目前已發(fā)展成為國(guó)內(nèi)外領(lǐng)先的32位嵌入式處理器芯片及其相關(guān)解決方案提供商。2009年,君正被中國(guó)半導(dǎo)體協(xié)會(huì)評(píng)為“中國(guó)最具成長(zhǎng)性集成電路設(shè)計(jì)企業(yè)”;同年,JZ4740獲得第四屆“中國(guó)芯”最佳

市場(chǎng)表現(xiàn)獎(jiǎng)。

北京君正擁有全球領(lǐng)先的32位CPU技術(shù)和低功耗技術(shù)。針對(duì)手持應(yīng)用和移動(dòng)多媒體應(yīng)用,北京君正創(chuàng)造性地推出了其獨(dú)特的32位XBurst CPU技術(shù)。XBurst的主頻、面積和功耗均領(lǐng)先于工業(yè)界現(xiàn)有的32位RISC微處理器內(nèi)核。在同樣工藝下,XBurst主頻是同類產(chǎn)品的1.5倍,面積是同類產(chǎn)品的1/2,功耗是同類產(chǎn)品的1/4。

芯片概述

JZ4760是大陸本土首顆面向智能手機(jī)、平板電腦等移動(dòng)設(shè)備的雙核CPU應(yīng)用處理器芯片,采用君正自主創(chuàng)新的XBurst雙核CPU微體系架構(gòu),是國(guó)產(chǎn)CPU首次進(jìn)人智能手機(jī)和平板電腦領(lǐng)域。JZ4760芯片CPU主頻達(dá)600MHz,支持720P高清解碼和圖形處理功能,與同類芯片相比具有最優(yōu)的性價(jià)比和最低的運(yùn)行功耗,支持Android、Linux、RTOS等操作系統(tǒng),適用于智能手機(jī)、平板電腦、移動(dòng)互聯(lián)網(wǎng)電視等移動(dòng)互聯(lián)網(wǎng)終端產(chǎn)品。

JZ4760憑借優(yōu)異的性價(jià)比和低功耗優(yōu)勢(shì),自2010年4月樣片測(cè)試OK后,在Android智能手機(jī)、平板電腦、電子書等領(lǐng)域均有國(guó)內(nèi)頂級(jí)客戶選用JZ4760芯片開案。其中,上海展英通采用JZ4760芯片設(shè)計(jì)的智能手機(jī)進(jìn)入量產(chǎn)階段,這是全球首款采用國(guó)內(nèi)AP芯片量產(chǎn)的Android智能手機(jī),領(lǐng)先于MTK、瑞芯微、海思等國(guó)內(nèi)處理器廠商的進(jìn)度。

在芯片推出僅2個(gè)多月的時(shí)間里,JZ4760智能手機(jī)方案和平板電腦方案相繼量產(chǎn),JZ4760芯片出貨量超過(guò)6萬(wàn)顆,銷售收入達(dá)到365.9萬(wàn)元。面對(duì)國(guó)內(nèi)AP市場(chǎng)每年超過(guò)一百億元的市場(chǎng)空間,JZ4760市場(chǎng)前景廣闊。

對(duì)國(guó)產(chǎn)CPU來(lái)說(shuō),產(chǎn)業(yè)化瓶頸已經(jīng)打破,但應(yīng)用領(lǐng)域基本集中在PMP、教育電子、指紋識(shí)別等細(xì)分市場(chǎng)并獲得市場(chǎng)領(lǐng)先地位。如果國(guó)產(chǎn)CPU能夠成功進(jìn)入智能手機(jī)、平板電腦等主流市場(chǎng),將是國(guó)產(chǎn)CPU繼產(chǎn)業(yè)化成功后的又一重大突破。JZ4760芯片在移動(dòng)互聯(lián)設(shè)備的成功將是實(shí)現(xiàn)這一突破的關(guān)鍵。

芯片封裝形式:BGA345

生產(chǎn)工藝:0.13um

主要功能和技術(shù)指標(biāo)

JZ4760是一款高性能、高集成度、超低功耗的應(yīng)用處理器芯片,內(nèi)置強(qiáng)大的多媒體處理器、圖形處理器和GPS基帶接收器,支持DDR2 SDRAM和24位ECC校驗(yàn),超低功耗,適用于智能手機(jī)、平板電腦、GPS導(dǎo)航儀等移動(dòng)設(shè)備。

JZ4760基于君正創(chuàng)新的XBurst雙核CPU微體系架構(gòu),CPU主頻達(dá)到600MHz,支持多媒體指令集XBurst SIMD2,內(nèi)置高效靈活的視頻引擎支持多格式高清解碼,H.264、ReM、MPEG4解碼能力可達(dá)720P分辨率。內(nèi)置音頻CODEC和TV Encoder,集成了豐富的通用外設(shè)接口,同時(shí)集成多個(gè)SPI、SDIO接口用于功能擴(kuò)展。

本產(chǎn)品所獲得的專利

已受理專利2項(xiàng)

四、盛科網(wǎng)絡(luò)(蘇州)有限公司――高端以太網(wǎng)交換芯片CTC6048-Humber

企業(yè)簡(jiǎn)介

盛科網(wǎng)絡(luò)(蘇州)有限公司是由有多年高端芯片研發(fā)經(jīng)驗(yàn)的歸國(guó)留學(xué)生,以及來(lái)自華為、中興等國(guó)內(nèi)外知名公司的市場(chǎng)、管理和工程人員共同創(chuàng)辦一家高科技創(chuàng)新型企業(yè)。2005年1月成立,注冊(cè)資本988.9萬(wàn)美元。主要從事“自主IP/以太網(wǎng)路由交換核心芯片組及定制化系統(tǒng)解決方案的研發(fā)和產(chǎn)業(yè)化”,總部位于蘇州工業(yè)園區(qū)。

經(jīng)過(guò)近五年的技術(shù)積累,盛科在數(shù)據(jù)通信領(lǐng)域的技術(shù)已經(jīng)處于中國(guó)領(lǐng)先,世界先進(jìn)水平,擁有自主世界級(jí)競(jìng)爭(zhēng)力的核心技術(shù)。到目前為止,盛科已成功開發(fā)3款具有國(guó)際先進(jìn)水平的核心芯片,和3個(gè)系列成熟的定制化解決方案。

芯片概述

CTC-6048(Humber)是盛科自主研發(fā)的,具備完全自主知識(shí)產(chǎn)權(quán)的以太網(wǎng)核心路由交換芯片。該芯片性能和功能達(dá)到了國(guó)際領(lǐng)先水平,也是國(guó)內(nèi)唯一的高性能以太網(wǎng)核心芯片。

該芯片是一款綠色節(jié)能的高性能、支持多業(yè)務(wù)(語(yǔ)音、數(shù)據(jù)、視頻)融合、功能強(qiáng)大的IP包處理芯片。采用65nm工藝,工作主頻575MHz,CPU接口位寬32位,數(shù)據(jù)位寬達(dá)到256比特,內(nèi)部交換容量達(dá)到100Gbps。芯片內(nèi)部包含多個(gè)MAC接口、數(shù)據(jù)入口處理引擎(IPE)、數(shù)據(jù)出口處理引擎(EPE)、報(bào)文數(shù)據(jù)通路控制(BSR)及交換網(wǎng)接口,網(wǎng)絡(luò)側(cè)提供48個(gè)10/100/1000M以太網(wǎng)接口或8個(gè)萬(wàn)兆以太網(wǎng)接口,上聯(lián)口提供16根高速SerDes,可以與交換矩陣互聯(lián),或提供4個(gè)萬(wàn)兆以太網(wǎng)接口。每條SerDes功耗小于100毫瓦,設(shè)計(jì)技術(shù)和制造工藝均達(dá)到國(guó)際一流水平。

芯片提供了二層,三層,MPLS,城域以太網(wǎng)等多種先進(jìn)的協(xié)議和技術(shù)??蓮V泛應(yīng)用于城域以太網(wǎng),PTN,三網(wǎng)融合,無(wú)線回傳,工業(yè)控制等多個(gè)領(lǐng)域。

芯片封裝形式:FC-PBGA1520

生產(chǎn)工藝:65nm工藝

主要功能和技術(shù)指標(biāo)

強(qiáng)大的處理能力

出色的交換能力

先進(jìn)的工藝設(shè)計(jì)

硬件集成多種先進(jìn)功能

完全支持IPv4和IPv6雙棧處理

強(qiáng)大的QoS功能

領(lǐng)先的以太網(wǎng)OAM&APS

豐富的安全功能

方便的統(tǒng)計(jì)功能

標(biāo)準(zhǔn)規(guī)格

本產(chǎn)品所獲得的專利

已受理專利24項(xiàng);已獲取專利1項(xiàng)。五、北京華大信安科技有限公司――基于ECC的客戶端安全芯片IS32U256A

企業(yè)簡(jiǎn)介

北京華大信安科技有限公司(簡(jiǎn)稱“華大信安”)成立于2005年3月,注冊(cè)資本為1670萬(wàn)元,公司的前身海南信安數(shù)據(jù)系統(tǒng)有限公司(簡(jiǎn)稱“海南信安”)創(chuàng)建于2000年5月,是以橢圓曲線密碼(ECC)算法理論及應(yīng)用技術(shù)研究為主的信息安全企業(yè)。

公司的主營(yíng)業(yè)務(wù)如下:

信息安全芯片開發(fā)與銷售、信息安全I(xiàn)P核設(shè)計(jì)與銷售;

信息安全芯片設(shè)計(jì)服務(wù)、信息安全應(yīng)用技術(shù)服務(wù);

基于安全芯片的應(yīng)用產(chǎn)品開發(fā)與市場(chǎng)推廣。

華大信安已經(jīng)成為信息安全領(lǐng)域中擁有自主知識(shí)產(chǎn)權(quán)密碼和算法核心技術(shù)的集成電路設(shè)計(jì)企業(yè),獲得了《高新技術(shù)企業(yè)批準(zhǔn)證書》和《集成電路設(shè)計(jì)企業(yè)認(rèn)定證書》。公司自成立至今一直是商用密碼生產(chǎn)定點(diǎn)企業(yè)和銷售許可企業(yè),具有設(shè)計(jì)開發(fā)、生產(chǎn)和銷售商用密碼產(chǎn)品的合法資格。

芯片概述

ECC是一種主流的公鑰密碼算法,可以廣泛應(yīng)用于需要信息加密和認(rèn)證的各種領(lǐng)域中。由于ECC相對(duì)于目前主要應(yīng)用的公鑰密碼算法一RSA具有全方面的技術(shù)優(yōu)勢(shì)(更強(qiáng)的安全性、更高的實(shí)現(xiàn)效率、更省的實(shí)現(xiàn)代價(jià)),已經(jīng)被諸多國(guó)際和國(guó)家標(biāo)準(zhǔn)組織采納為下一代的公鑰密碼算法標(biāo)準(zhǔn)(IEEE P1363、ANSI X9、FIPS、ISO/IEC和IETF等),逐步取代RSA的趨勢(shì)日趨明顯。

華大信安作為第一完成單位,參與了ECC國(guó)家標(biāo)準(zhǔn)算法(sM2)的制定,為SM2算法貢獻(xiàn)了具有自主知識(shí)產(chǎn)權(quán)的核心技術(shù)成果。SM2將在我國(guó)電子

政務(wù)、電子商務(wù)、網(wǎng)絡(luò)銀行、數(shù)字電視條件接收系統(tǒng)(CAS)、數(shù)字版權(quán)保護(hù)(DRM)、可信計(jì)算、移動(dòng)通訊安全等領(lǐng)域得到廣泛應(yīng)用。

芯片封裝形式:LQFP48、LQFP44、SOP24、SSOP20、模塊封裝

生產(chǎn)工藝:HJTC 0.18um PFLASH

主要功能和技術(shù)指標(biāo)

產(chǎn)品執(zhí)行國(guó)家標(biāo)準(zhǔn)密碼算法:SM1、SM2、SM3、SM4和SSF33。

產(chǎn)品執(zhí)行國(guó)際標(biāo)準(zhǔn)密碼算法:IEEE P1363、FIPS186-2、ANSI X9.62。

本產(chǎn)品所獲得的專利

已受理專利5項(xiàng);已獲取專利4項(xiàng)。六、北京中電華大電子設(shè)計(jì)有限責(zé)任公司――802.11n全集成射頻心芯片

HED09W06RN

企業(yè)簡(jiǎn)介

北京中電華大電子設(shè)計(jì)有限責(zé)任公司(以下簡(jiǎn)稱華大電子)成立于2002年6月,其前身為中國(guó)大陸第一家集成電路設(shè)計(jì)機(jī)構(gòu)一中國(guó)華大集成電路設(shè)計(jì)中心。2009年9月,華大電子成為香港上市公司中國(guó)電子集團(tuán)控股有限公司(00085.HKSE)的全資子公司。

華大電子是專業(yè)從事集成電路設(shè)計(jì)開發(fā)銷售以及提供解決方案的集成電路設(shè)計(jì)企業(yè),產(chǎn)品主要應(yīng)用在智能卡以及無(wú)線通信領(lǐng)域。我們經(jīng)常使用的第二代居民身份證、社???、加油卡、電信卡、購(gòu)電卡、交通卡、無(wú)線網(wǎng)絡(luò)設(shè)備等,無(wú)不嵌入華大電子的芯片產(chǎn)品。

型號(hào):HED09W06RN

芯片概述

HED09W05SNA是一款高集成度,高性價(jià)比,支持802.11n 1T1R的WLAN網(wǎng)絡(luò)接口控制器,內(nèi)部集成了USB 2.0接口、PCI接口、MAC、BB、ADC、DAC,符合GB15629.11-2006、IEEE802.11a/b/g/e/i/n draft4.0/wapi 2.0標(biāo)準(zhǔn)。本款芯片采用雙總線結(jié)構(gòu),分別用于寄存器控制和數(shù)據(jù)傳輸,有效提高芯片的性能,降低芯片的設(shè)計(jì)難度。

這款芯片集成了USB 2.0和PCI兩種主機(jī)接口,分別對(duì)應(yīng)LQFP-80和LQFP-144兩種封裝形式,能夠滿足客戶不同主機(jī)接口下的應(yīng)用要求。包括USB 2.0,PCI,Mini-PCI,Cardbus等。

HED09W05SNA作為可以支持802.11b/g/n的芯片,它支持DSSS(直接序列擴(kuò)頻)以及OFDM(正交頻分復(fù)用)調(diào)制方式,具備良好的數(shù)據(jù)解憂能力,支持多種不同的數(shù)據(jù)傳輸速率。支持normalguard interval和short guard intmval。最高的物理層速率為150Mbps。

HED09W05SNA在芯片安全方面,在b/g/n模式F,支持WEP64、WEP128、TKIP、CCMP、WAPI加密。對(duì)于11n物理層速率下的發(fā)送幀,采用WEP64、WEP128、TKIP方式的加密幀,不支持A-MPDU聚合。CCMP、WAPI兩種加密方式下數(shù)據(jù)傳輸性能和開放模式下傳輸性能基本相當(dāng)。

HED09W05SNA芯片具有機(jī)制,在USB接口下將PCI模塊節(jié)能,在PCI接口下將USB接口節(jié)能。在兩種主機(jī)接口下,都可以軟件控制本芯片進(jìn)行節(jié)能和控制片外RF進(jìn)行節(jié)能。其次還可以自適應(yīng)的根據(jù)工作模式進(jìn)行時(shí)鐘管理,以最大限度的節(jié)省站點(diǎn)系統(tǒng)的能耗。此外,它還支持國(guó)際標(biāo)準(zhǔn)限定的省電模式:BSS中的PS-POLL節(jié)能機(jī)制,802.11eU-APSD節(jié)能機(jī)制,WiFi WMM-PS節(jié)能機(jī)制,從而使整個(gè)系統(tǒng)實(shí)現(xiàn)低功耗。

HED09W05SNA芯片支持軟AP功能,可以實(shí)現(xiàn)同時(shí)組建5個(gè)SSID網(wǎng)絡(luò),實(shí)現(xiàn)5個(gè)獨(dú)立AP的功能。支持建立多組播網(wǎng)絡(luò)功能??梢詫?shí)現(xiàn)作為STA加入別的網(wǎng)絡(luò)的同時(shí),自己又作為AP建立BSS網(wǎng)絡(luò)的功能。

HED09W05SNA支持PIN Code WPS方式,從而讓用戶采用“傻瓜式”式操作即可以實(shí)現(xiàn)加密的完全網(wǎng)絡(luò),保證信息的安全性。

HED09W05SNA在USB接口形式下,其固件可以來(lái)自片內(nèi)ROM,也可以來(lái)自使用12C或者SPI訪問(wèn)的片外EEPROM,提供的方式靈活,方便用戶做更為特殊的開發(fā)。來(lái)自12C或者SPI的固件加載支持CRC檢測(cè),CRC檢測(cè)不通過(guò)將進(jìn)行最多3次的重復(fù)加載,保證了固件加載的可靠性。

本產(chǎn)品是國(guó)內(nèi)首款支持802.11n標(biāo)準(zhǔn)的芯片產(chǎn)品,占據(jù)了國(guó)內(nèi)領(lǐng)先地位,在國(guó)際業(yè)界達(dá)到了中游產(chǎn)品水品,對(duì)Atheros、Marvell、Ralink等知名WLAN芯片提供上在國(guó)內(nèi)市場(chǎng)構(gòu)成巨大競(jìng)爭(zhēng)。推進(jìn)了WAPI國(guó)家標(biāo)準(zhǔn)的產(chǎn)業(yè)化進(jìn)程,加快了我國(guó)自主設(shè)計(jì)開發(fā)的WLAN核心芯片組的產(chǎn)業(yè)化,推動(dòng)自主的無(wú)線局域網(wǎng)產(chǎn)業(yè)順利發(fā)展。

芯片封裝形式:LQFP80

生產(chǎn)工藝:130nm

主要功能和技術(shù)指標(biāo)

OFrequency range:2.4~2.5GHz(14通道)

PLL/VCO累積噪聲:0.5degree integration PN-(40dBc)

OPLIJVCO調(diào)整步長(zhǎng):80Hz/step

OPLL/VCO switch時(shí)間:

Low Pass Filter:7~22MHz(支持40MHz模式)

RX/Tx EVM:RX < -33dB;TX < -28dB

@13dBm Balun output )

PA Power: > 13dBm @ 54Mbps

RX/TX gain control range:RX: 100dB

-10dB - 90dB ) ;TX: 25dB ( -15dB - 10dB )

RF input range: -65dBm ~-5dBm@28dB SNR

NF: - 5 dB

RX BB output: Rx BB output: Vrms> 300mV

Vpp: 848mV )

Tx BB input:Tx BB input: Vrms> 100mV

Vpp: 283mV )

Crvstal tolerance : About +/- 2ppm

LO frequency stability: +/- 1KHz

Rx gain switch time : < 200ns

RXIN ( ADC common volt. ) :0.6V, 0.9V, 1.25Vthree mode

TXIN ( DAC common volt. ) :Support all cases( =0.7V bypass Mode )

Tx switch time : About 600ns

Power Consumption: 1RX - 70mA ( 126row );1TX~500mW

七、美新半導(dǎo)體(無(wú)錫)有限公司――新型磁傳感器MMC214 MMC3140

企業(yè)簡(jiǎn)介

美新半導(dǎo)體(無(wú)錫)有限公司是一家成立于1999年由海歸高端人才趙陽(yáng)博士創(chuàng)辦的企業(yè),是全球首家將微電子系統(tǒng)和混合信號(hào)處理電路集成于單一芯片的慣性傳感器公司,其電子微機(jī)電、微加工集成技術(shù)目前居全球領(lǐng)先水平。美新公司2007年在美國(guó)納斯達(dá)克上市。

美新共有員工205人,其中研發(fā)人員46人,公司有博士5人,碩士15人。集中研發(fā)各類MEMS傳感器、應(yīng)用方案及系統(tǒng)解決方案;隨著物聯(lián)網(wǎng)在國(guó)內(nèi)的興起,公司正在積極開發(fā)國(guó)際先進(jìn)的無(wú)線傳感網(wǎng)系統(tǒng)解決方案,為物聯(lián)網(wǎng)在國(guó)內(nèi)的順利發(fā)展提供核心技術(shù)及器件。公司目前申請(qǐng)發(fā)明專利共59項(xiàng),擁有發(fā)明專利22項(xiàng)、實(shí)用新型專利10項(xiàng)。

芯片概述

新型MEMS磁傳感器廣泛用于移動(dòng)消費(fèi)類電子產(chǎn)品(如手機(jī))與汽車電子、工業(yè)應(yīng)用等高端傳感器件。目前市場(chǎng)容量超過(guò)10億美元,普通磁傳感器由于存在體積大、功耗高、檢測(cè)分辨率低、第三軸磁性傳感靈敏度差等缺點(diǎn)已不能滿足高端市場(chǎng)需求。本項(xiàng)目采用MEMS技術(shù)和CMOS大規(guī)模標(biāo)準(zhǔn)集成電路工藝,制備出體積小、重量輕、低成本、可批量生產(chǎn)的新型磁傳感器,可以和Ic實(shí)現(xiàn)系統(tǒng)集成,并能擴(kuò)展集成其它MEMS傳感器。公司開發(fā)的新型MEMS磁傳感器技術(shù)性能指標(biāo)達(dá)到國(guó)際先進(jìn)水平,填補(bǔ)國(guó)內(nèi)空白。項(xiàng)目的實(shí)施對(duì)于支持江蘇省整個(gè)MEMS產(chǎn)業(yè)發(fā)展,以及相應(yīng)汽車與消費(fèi)電子配套產(chǎn)業(yè)發(fā)展都將起到積極作用。

隨著CMOS技術(shù)和MEMS技術(shù)的發(fā)展,微電子機(jī)械系統(tǒng)(MEMS)制造技術(shù)為磁傳感器的小型化、微型化奠定了可靠的基礎(chǔ)。它實(shí)現(xiàn)了直接將磁傳感器件與CMOS電路集成到同一封裝體中,降低了器件成本,同時(shí)具有非接觸測(cè)量、高可靠、堅(jiān)固耐用、測(cè)量靈敏度高等特點(diǎn),能夠完成許多常規(guī)尺寸磁傳感器不能完成任務(wù),是磁傳感器件發(fā)展必然趨勢(shì)。

新型磁傳感器將消費(fèi)類電子和汽車電子作為目標(biāo)市場(chǎng),技術(shù)發(fā)展方向?yàn)椋?/p>

1)采用標(biāo)準(zhǔn)CMOS大規(guī)模制造工藝制備磁數(shù)模混合信號(hào)處理電路,從而有效降低生產(chǎn)成本;

2)優(yōu)化芯片設(shè)計(jì),有效降低芯片功耗和芯片尺寸,使其適用于各類低功耗要求的手持式設(shè)備;

3)開發(fā)設(shè)計(jì)與MEMS磁傳感器相匹配的嵌入軟件,采用軟件自動(dòng)校準(zhǔn)傳感器,同時(shí)可以動(dòng)態(tài)地補(bǔ)償磁干擾引起的誤差,能夠更有效為用戶提供精確與便捷的應(yīng)用解決方案。

目前,僅有國(guó)外PNI、HoneyWell、Aichi等跨國(guó)大公司能夠生產(chǎn)新型MEMS磁傳感器,國(guó)內(nèi)還沒有一家廠家能生產(chǎn)出類似的磁傳感器。國(guó)外公司在磁傳感器方面已申請(qǐng)多項(xiàng)專利,形成對(duì)我國(guó)MEMS磁傳感器發(fā)展的專利壁壘。

美新公司開發(fā)的新型MEMS磁性傳感器,性能達(dá)到并部分超過(guò)國(guó)際先進(jìn)水平,打破了國(guó)際跨國(guó)大公司的技術(shù)壁壘,填補(bǔ)國(guó)內(nèi)空白。同時(shí)項(xiàng)目的實(shí)施將大大提高我國(guó)MEMS技術(shù)發(fā)展,增加電子信息產(chǎn)品國(guó)際競(jìng)爭(zhēng)力。

芯片封裝形式:采用柔性基板進(jìn)行z軸折彎封裝

生產(chǎn)工藝:塑封結(jié)構(gòu)

主要功能和技術(shù)指標(biāo)

實(shí)現(xiàn)芯片低功耗設(shè)計(jì),使MEMS磁傳感器的降低工作電流20%;

磁傳感器尺寸進(jìn)一步縮小為3mm×3mm×1.0mm;

開發(fā)出磁傳感器的新型校準(zhǔn)算法,實(shí)現(xiàn)自動(dòng)校準(zhǔn)傳感器,動(dòng)態(tài)補(bǔ)償磁干擾引起的誤差。

實(shí)現(xiàn)磁傳感器和加速度計(jì)的在同一封裝內(nèi)系統(tǒng)集成;

設(shè)計(jì)出兩種傳感器集成系統(tǒng)的智能算法,提供系統(tǒng)模塊應(yīng)用軟件。

本產(chǎn)品所獲得的專利

已受理專利15項(xiàng);已獲取專利3項(xiàng)。

八、華芯半導(dǎo)體有限公司――2Gb大容量動(dòng)態(tài)存儲(chǔ)器芯片

企業(yè)簡(jiǎn)介

山東華芯半導(dǎo)體有限公司成立于2008年5月,注冊(cè)資本3億元,是山東省政府確定的集成電路龍頭企業(yè)。公司總部位于濟(jì)南,并設(shè)立西安華芯半導(dǎo)體有限公司(存儲(chǔ)器研發(fā)中心),在硅谷、慕尼黑和香港設(shè)立合作研發(fā)中心。

2009年5月,華芯成功收購(gòu)德國(guó)奇夢(mèng)達(dá)科技中國(guó)研發(fā)中心,跨越式擁有了世界先進(jìn)水平的存儲(chǔ)器設(shè)計(jì)團(tuán)隊(duì),獲得了先進(jìn)的軟硬件設(shè)計(jì)平臺(tái)和高水平的分析測(cè)試實(shí)驗(yàn)室,一舉成為中國(guó)領(lǐng)先的半導(dǎo)體存儲(chǔ)器集成電路設(shè)計(jì)研發(fā)企業(yè)。

公司擁有近百人的國(guó)際化研發(fā)團(tuán)隊(duì),其中外籍員工7人,歸國(guó)高層次人才8人,國(guó)內(nèi)知名院校碩士學(xué)位以上畢業(yè)生占85%。

芯片概述

華芯DRAM芯片是中國(guó)自主品牌的高端DRAM產(chǎn)品,在功耗與性能上有明顯優(yōu)勢(shì)。目前廣泛應(yīng)用于服務(wù)器、計(jì)算機(jī)、筆記本電腦、高清電視、機(jī)頂盒、上網(wǎng)本、平板電腦(PAD)、移動(dòng)信息終端(MID)等產(chǎn)品。

華芯DRAM芯片采用業(yè)內(nèi)領(lǐng)先的BWL(埋藏字線)技術(shù)進(jìn)行設(shè)計(jì),在相同工藝水平下,可以提供更優(yōu)異的性能和更低的功耗。從晶圓到顆粒全面的品質(zhì)管控,通過(guò)嚴(yán)格的測(cè)試流程進(jìn)行篩選,確保產(chǎn)品的穩(wěn)定性和可靠性。

芯片封裝形式:TFBGA60和TFBGA84

生產(chǎn)工藝:12英寸65nm掩埋字線工藝

主要功能和技術(shù)指標(biāo)

產(chǎn)品完全滿足JEDEC DDR2標(biāo)準(zhǔn)

主要性能指標(biāo):

芯片尺寸:9.668mm×10.384mm

芯片容量:2Gbid片

數(shù)據(jù)率:800Mhz-1066Mhz

標(biāo)稱工作電壓:1.8V,可選低電壓1.5V工作模式

靜態(tài)功耗均值5.0mA

最大動(dòng)態(tài)功耗均值125mA

本產(chǎn)品所獲得的專利

已受理專利5項(xiàng);已獲取專利1項(xiàng)。

本產(chǎn)品獲獎(jiǎng)情況

(Ic CHINA)最佳優(yōu)秀展品獎(jiǎng)九、天津市晶奇微電子有限公司――視頻監(jiān)控用大動(dòng)態(tài)范圍低照度CMOS圖像

傳感器BG10365

企業(yè)簡(jiǎn)介

天津市晶奇微電子有限公司由留學(xué)歸國(guó)博士發(fā)起,致力于設(shè)計(jì)開發(fā)世界一流水準(zhǔn)和完全自主知識(shí)產(chǎn)權(quán)的高端CMOS圖像傳感器芯片和相應(yīng)相機(jī)產(chǎn)品,以安全監(jiān)控、機(jī)器視覺、數(shù)字醫(yī)療照相等中高端市場(chǎng)為主要目標(biāo),打破日美公司對(duì)于國(guó)內(nèi)中高端圖像傳感器芯片市場(chǎng)的完全壟斷;依靠具有完全自主知識(shí)產(chǎn)權(quán)的設(shè)計(jì)技術(shù)和不斷提升的生產(chǎn)工藝,開發(fā)出達(dá)到并超過(guò)CCD性能指標(biāo)的高品質(zhì)CMOS圖像傳感器,帶動(dòng)國(guó)內(nèi)數(shù)字照相產(chǎn)業(yè)的發(fā)展。

自公司成立以來(lái),已經(jīng)研發(fā)成功5款具有國(guó)內(nèi)一流水平的CMOS圖像傳感器芯片,其中兩款屬國(guó)內(nèi)創(chuàng)新,國(guó)際先進(jìn)。先后申請(qǐng)中國(guó)發(fā)明專利4項(xiàng),已經(jīng)獲批一項(xiàng);獲得集成電路設(shè)計(jì)布圖保護(hù)5項(xiàng)。先后承擔(dān)國(guó)家級(jí)、省部級(jí)科研項(xiàng)目多項(xiàng)。

芯片概述

BG10365是晶奇完全自主開發(fā)的視頻監(jiān)控用大動(dòng)態(tài)范圍低照度CMOS圖像傳感器。在動(dòng)態(tài)范圍、暗光特性以及噪聲控制方面采用了多項(xiàng)專利技術(shù),使其達(dá)到了目前占據(jù)國(guó)內(nèi)監(jiān)控市場(chǎng)主流的Sony同類產(chǎn)品性能指標(biāo),成本和售價(jià)明顯降低;同時(shí)通過(guò)開放功能接口,保證后端相機(jī)系統(tǒng)廠商具有獨(dú)立開發(fā)的可能。這對(duì)于打破進(jìn)口產(chǎn)品的技術(shù)壟斷和開發(fā)依賴具有重要意義。

與市場(chǎng)同類產(chǎn)品相比,BG10365具有的主要特點(diǎn)包括:

(1)全并行組合滾筒曝光方式,保證在獲得無(wú)

失真的運(yùn)動(dòng)圖像同時(shí)具有優(yōu)秀的暗光特性。通過(guò)采用世界首創(chuàng)的5T/4T像素兼容專利技術(shù),對(duì)于運(yùn)動(dòng)物體的拍攝采用全并行曝光,而在黑暗環(huán)境下自動(dòng)切換到滾筒式曝光模式;使得BG10350同時(shí)可以適應(yīng)各類應(yīng)用場(chǎng)合;

(2)BG10365內(nèi)部采用了多項(xiàng)專利的噪聲消除技術(shù),暗光特性優(yōu)異;

(3)高達(dá)120fps的拍攝幀頻組合全并行曝光使其可以用于機(jī)器視覺、汽車電子等方面的使用。

(4)豐富的接口控制保證相機(jī)開發(fā)商針對(duì)應(yīng)用特點(diǎn)進(jìn)行圖像處理軟件軟件開發(fā)。

芯片封裝形式:CLCC/PLCC 48 Pin

生產(chǎn)工藝:0.18um CIS Process

主要功能和技術(shù)指標(biāo)

1、D1分辨率720*576

2、高品質(zhì)0.18um CIS工藝

3、5T像素結(jié)構(gòu),全并行曝光;

4、兼容4T工作模式,滾筒式曝光;

5、全分辨率最高幀頻120fps,子窗口采樣最高750fps;

6、彩色/黑白輸出;

7、全分辨率功耗

8、片上lo-bit ADC;數(shù)字/PAL/NTSC輸出;

9、寬動(dòng)態(tài)范圍控制;

10、兼容12C的SCCB控制接口。

本產(chǎn)品所獲得的專利

已受理專利4項(xiàng);已獲取專利1項(xiàng)。

十、北京東方聯(lián)星科技有限公司――多系統(tǒng)兼容衛(wèi)星導(dǎo)航芯片OTragk-32

企業(yè)簡(jiǎn)介

北京東方聯(lián)星科技有限公司(簡(jiǎn)稱“東方聯(lián)星”)是注冊(cè)于北京市海淀區(qū)的高新技術(shù)企業(yè),公司由具有多年美國(guó)硅谷公司工作經(jīng)驗(yàn)的歸國(guó)人員創(chuàng)辦,專業(yè)從事自主知識(shí)產(chǎn)權(quán)的衛(wèi)星導(dǎo)航核心技術(shù)產(chǎn)品的設(shè)計(jì)、開發(fā)、生產(chǎn)和銷售。

東方聯(lián)星植根我國(guó)自主衛(wèi)星導(dǎo)航產(chǎn)業(yè)鏈的最上游,2008年即批量生產(chǎn)北斗多模衛(wèi)星導(dǎo)航芯片,引領(lǐng)了中國(guó)衛(wèi)星導(dǎo)航產(chǎn)業(yè)界的進(jìn)步,我國(guó)自此擁有了衛(wèi)星導(dǎo)航最核心的技術(shù)。目前公司已批量生產(chǎn)的主要產(chǎn)品包括:北斗多模衛(wèi)星導(dǎo)航芯片、商性能北斗多模接收機(jī)、衛(wèi)星導(dǎo)航與慣性導(dǎo)航組合導(dǎo)航系統(tǒng)、抗干擾衛(wèi)星導(dǎo)航系統(tǒng)、衛(wèi)星導(dǎo)航多星座模擬器、開發(fā)實(shí)驗(yàn)設(shè)備等6類40余款定型產(chǎn)品。各型產(chǎn)品已在我國(guó)航空、航天、航海、測(cè)量、通信、電力、氣象、車載、手機(jī)等領(lǐng)域上廣泛應(yīng)用。

北京東方聯(lián)星科技有限公司致力于高端北斗多模衛(wèi)星導(dǎo)航應(yīng)用系統(tǒng)的設(shè)計(jì)、生產(chǎn)和銷售。我們以高新的技術(shù),優(yōu)秀的團(tuán)隊(duì),完善的管理,努力為國(guó)內(nèi)外用戶提供更高性能的產(chǎn)品、更為優(yōu)質(zhì)的服務(wù)。

芯片概述

OTrack-32是國(guó)內(nèi)首款成熟商用的多模兼容高性能衛(wèi)星導(dǎo)航芯片,采用高速信號(hào)處理引擎技術(shù),滿足高性能應(yīng)用各項(xiàng)指標(biāo)要求,可同時(shí)接收北斗二號(hào)、GPS、GLONASS衛(wèi)星信號(hào),實(shí)現(xiàn)多系統(tǒng)聯(lián)合導(dǎo)航精確定位、測(cè)速和授時(shí)。

OTrack-32芯片實(shí)現(xiàn)了當(dāng)今世界上最快速的1秒熱啟動(dòng)、35秒冷啟動(dòng),穩(wěn)定的1秒重捕獲;高達(dá)每秒20次的真值定位;0.5米差分定位精度;5米單點(diǎn)定位精度;高性能架構(gòu)雙32通道;寬溫工作能力;高定位更新率;單一芯片內(nèi)集成了北斗二號(hào)、GPS、GLONASS三系統(tǒng)導(dǎo)航定位功能;高可靠、抗干擾;適應(yīng)惡劣環(huán)境,在嚴(yán)格的地面測(cè)試和多種載體動(dòng)態(tài)試驗(yàn)中表現(xiàn)出了優(yōu)異的性能。

OTrack-32為東方聯(lián)星公司基于自主技術(shù)研發(fā),具有完全獨(dú)立的知識(shí)產(chǎn)權(quán),與國(guó)際同類型產(chǎn)品相比,用戶的使用安全和服務(wù)支持得到更強(qiáng)有力的保證。該芯片已大批量生產(chǎn),解決了GNSS芯片的大規(guī)模供貨問(wèn)題。在專業(yè)導(dǎo)航、測(cè)量、授時(shí)等專業(yè)導(dǎo)航領(lǐng)域受到用戶歡迎。

芯片封裝形式:QFNS8

生產(chǎn)工藝:0.18微米(μm)cMOS

主要功能和技術(shù)指標(biāo)

接收頻率:GPS L1/GLONASS L1/BD2 B1B2

通道數(shù):并行32通道

重捕獲時(shí)間:

熱啟動(dòng)時(shí)間:1秒

溫啟動(dòng)時(shí)間:30秒

冷啟動(dòng)時(shí)間:35秒

單點(diǎn)定位精度:5米(CEP95)

差分定位精度:0.5米

測(cè)速精度:0.1米/秒

1PPS:±100納秒

定位更新率:1Hz、5Hz、10Hz、20Hz

供電:1.8VDC內(nèi)核,1.8~3.3VDCI/O

功耗:90毫瓦(50mA@1.8VDC)

尺寸:10.0×10.0×0.8毫米

重量:0.25克

工作溫度:-40℃-+85℃

行業(yè)技術(shù)標(biāo)準(zhǔn)

ICD-GPS-200C;BD-ICD-01-2.0

本產(chǎn)品所獲得的專利

已受理專利3項(xiàng);已獲取專利2項(xiàng)。