公務(wù)員期刊網(wǎng) 精選范文 電路設(shè)計的基本方法范文

電路設(shè)計的基本方法精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的電路設(shè)計的基本方法主題范文,僅供參考,歡迎閱讀并收藏。

電路設(shè)計的基本方法

第1篇:電路設(shè)計的基本方法范文

【關(guān)鍵詞】集成電路;EDA;項目化

0 前言

21世紀是信息時代,信息社會的快速發(fā)展對集成電路設(shè)計人才的需求激增。我國高校開設(shè)集成電路設(shè)計課程的相關(guān)專業(yè),每年畢業(yè)的人數(shù)遠遠滿足不了市場的需求,因此加大相關(guān)專業(yè)人才的培養(yǎng)力度是各大高校的當務(wù)之急。針對這種市場需求,我校電子信息工程專業(yè)電子方向致力于培養(yǎng)基礎(chǔ)知識扎實,工程實踐動手能力強的集成電路設(shè)計人才[1]。

針對集成電路設(shè)計課程體系,進行課程教學改革。教學改革的核心是教學課程體系的改革,包括理論教學內(nèi)容改革和實踐教學環(huán)節(jié)改革,旨在改進教學方法,提高教學質(zhì)量,現(xiàn)已做了大量的實際工作,取得了一定的教學成效。改革以集成電路設(shè)計流程為主線,通過對主流集成電路開發(fā)工具Tanner Pro EDA設(shè)計工具的學習和使用,讓學生掌握現(xiàn)代設(shè)計思想和方法,理論與實踐并重,熟悉從系統(tǒng)建模到芯片版圖設(shè)計的全過程,培養(yǎng)學生具備從簡單的電路設(shè)計到復(fù)雜電子系統(tǒng)設(shè)計的能力,具備進行集成電路設(shè)計的基本專業(yè)知識和技能。

1 理論教學內(nèi)容的改革

集成電路設(shè)計課程的主要內(nèi)容包括半導(dǎo)體材料、半導(dǎo)體制造工藝、半導(dǎo)體器件原理、模擬電路設(shè)計、數(shù)字電路設(shè)計、版圖設(shè)計及Tanner EDA工具等內(nèi)容,涉及到集成電路從選材到制造的不同階段。傳統(tǒng)的理論課程教學方式,以教師講解為主,板書教學,但由于課程所具有的獨特性,在介紹半導(dǎo)體材料和半導(dǎo)體工藝時,主要靠教師的描述,不直觀形象,因此引進計算機輔助教學。計算機輔助教學是對傳統(tǒng)教學的補充和完善,以多媒體教學為主,結(jié)合板書教學,以圖片形式展現(xiàn)各種形態(tài)的半導(dǎo)體材料,以動畫的形式播放集成電路的制造工藝流程,每一種基本電路結(jié)構(gòu)都給出其典型的版圖照片,使學生對集成電路建立直觀的感性認識,充分激發(fā)教師和學生在教學活動中的主動性和互動性,提高教學效率和教學質(zhì)量。

2 實踐教學內(nèi)容的改革

實踐教學的目的是依托主流的集成電路設(shè)計實驗平臺,讓學生初步掌握集成電路設(shè)計流程和基本的集成電路設(shè)計能力,為今后走上工作崗位打下堅實的基礎(chǔ)。傳統(tǒng)的教學方式是老師提前編好實驗指導(dǎo)書,學生按照實驗指導(dǎo)書的要求,一步步來完成實驗。傳統(tǒng)的實驗方式不能很好調(diào)動學生的積極性,再加上考核方式比較單一,學生對集成電路設(shè)計的概念和流程比較模糊,為了打破這種局面,實踐環(huán)節(jié)采用與企業(yè)密切相關(guān)的工程項目來完成。項目化實踐環(huán)節(jié)可以充分發(fā)揮學生的主動性,使學生能夠積極參與到教學當中,從而更好的完成教學目標,同時也能夠增強學生的工程意識和合作意識。

實踐環(huán)節(jié)選取CMOS帶隙基準電壓源作為本次實踐教學的項目。該項目來源于企業(yè),是數(shù)模轉(zhuǎn)換器和模數(shù)轉(zhuǎn)換器的一個重要的組成模塊。本項目從電路設(shè)計、電路仿真、版圖設(shè)計、版圖驗證等流程對學生做全面的訓(xùn)練,使學生對集成電路設(shè)計流程有深刻的認識。學生要理解CMOS帶隙基準電壓源的原理,參與到整個設(shè)計過程中,對整個電路進行仿真測試,驗證其功能的正確性,然后進行各個元件的設(shè)計及布局布線,最后對版圖進行了規(guī)則檢查和一致性檢查,完成整個電路的版圖設(shè)計和版圖原理圖比對,生成GDS II文件用于后續(xù)流片[2]。

CMOS帶隙基準電壓源設(shè)計項目可分為四個部分啟動電路、提供偏置電路、運算放大器和帶隙基準的核心電路部分。電路設(shè)計可由以下步驟來完成:

1)子功能塊電路設(shè)計及仿真;

2)整體電路參數(shù)調(diào)整及優(yōu)化;

3)基本元器件NMOS/PMOS的版圖;

4)基本單元與電路的版圖;

5)子功能塊版圖設(shè)計和整體版圖設(shè)計;

6)電路設(shè)計與版圖設(shè)計比對。

在整個項目化教學過程,參照企業(yè)項目合作模式將學生分為4個項目小組,每個小組完成一部分電路設(shè)計及版圖設(shè)計,每個小組推選一名專業(yè)能力較強且具有一定組織能力的同學擔任組長對小組進行管理。這樣做可以在培養(yǎng)學生設(shè)計能力的同時,加強學生的團隊合作意識。在整個項目設(shè)計過程中,以學生探索和討論為主,教師起引導(dǎo)作用,給學生合理的建議,引導(dǎo)學生找出解決問題的方法。項目完成后,根據(jù)項目實施情況對學生進行考核,實現(xiàn)應(yīng)用型人才培養(yǎng)的目標。

3 教學改革效果與創(chuàng)新

理論教學改革采用計算機輔助教學,以多媒體教學為主,結(jié)合板書教學,對集成電路材料和工藝有直觀感性的認識,學生的課堂效率明顯提高,課堂氣氛活躍,師生互動融洽。實踐環(huán)節(jié)改革通過項目化教學方式,學生對該課程的學習興趣明顯提高,設(shè)計目標明確,在設(shè)計過程中學會了查找文獻資料,學會與人交流,溝通的能力也得到提高。同時項目化教學方式使學生對集成電路的設(shè)計特點及設(shè)計流程有了整體的認識和把握,對元件的版圖設(shè)計流程有了一定的認識。學生已經(jīng)初步掌握了集成電路的設(shè)計方法,但要達到較高的設(shè)計水平,設(shè)計出性能良好的器件,還需要在以后的工作中不斷總結(jié)經(jīng)驗[3]。

4 存在問題及今后改進方向

集成電路設(shè)計課程改革雖然取得了一定的成果,但仍存在一些問題:由于微電子技術(shù)發(fā)展速度很快,最新的行業(yè)技術(shù)在課堂教學中體現(xiàn)較少;學生實踐能力不高,動手能力不強。

針對上述問題,我們提出如下解決方法:

1)在課堂教學中及時引進行業(yè)最新發(fā)展趨勢和(下轉(zhuǎn)第220頁)(上接第235頁)技術(shù),使學生能夠及時接觸到行業(yè)前沿知識,增加與企業(yè)的合作;

2)加大實驗室開放力度,建立一個開放的實驗室供學生在課余時間自由使用,為學生提供實踐機會,并且鼓勵能力較強的學生參與到教師研項目當中。

【參考文獻】

[1]段吉海.“半導(dǎo)體集成電路”課程建設(shè)與教學實踐[J].電氣電子教學學報,2007,05(29).

第2篇:電路設(shè)計的基本方法范文

【關(guān)鍵詞】電子;電路設(shè)計;常用;調(diào)試方法;步驟探討

伴隨時代的不斷發(fā)展和科學技術(shù)的不斷進步,人們越來越關(guān)注社會生產(chǎn)力的提升。采取科學的方式進行電子電路的設(shè)計與工作流程的部署和管理,能夠滿足當下社會生產(chǎn)力發(fā)展的基本需求,也能夠促進行業(yè)的生產(chǎn)進步。當下我國電子行業(yè)發(fā)展的過程當中都越來越重視相關(guān)的技術(shù)升級,采取高效率的生產(chǎn)和設(shè)計模式才能夠?qū)崿F(xiàn)對理論的進一步應(yīng)用,也能夠滿足實際的生產(chǎn)工作需求。模擬的設(shè)計構(gòu)想在實踐工作的驗證體系下常常顯示出各種問題,需要以更加科學、安全、有效的方式實現(xiàn)對相關(guān)工作體系的完善,并在具體的工作當中以實踐經(jīng)驗論證設(shè)計理念,保證電子行業(yè)發(fā)展的前景要求。

1電子電路設(shè)計的原理

電子電路的設(shè)計工作具有相關(guān)的工作原理和原則,需要遵循一定的制度和規(guī)律進行相關(guān)工作的設(shè)計,以此實現(xiàn)對工作體系的完善性需求。首先,電子電路的設(shè)計工作原理要求,設(shè)計的相關(guān)內(nèi)容需要符合整體性要求,在實際的設(shè)計工作當中要針對電路工作的各個節(jié)點進行監(jiān)督與功能實踐。其次,設(shè)計的工作要保證具體功能的落實,針對每個電路的工作職能進行細致的劃分。再者,應(yīng)當進行電路設(shè)計的最優(yōu)化選擇,保證電路設(shè)計的穩(wěn)定性和完善性,在實際的工作應(yīng)用中具備可靠的特征。最后,應(yīng)當實際的考量到市場經(jīng)濟的價值和效益需求,進行性價比的研究分析并最終完成設(shè)計。

2電子電路設(shè)計的流程

電子電路的設(shè)計工作流程比較復(fù)雜,具體的工作內(nèi)容也具有較高的嚴謹性和準確性。在實際工作進行的過程當中,應(yīng)當重視對設(shè)計目標的確認,在具體工作中明確電子功能的設(shè)計。針對電子產(chǎn)品的核心功能應(yīng)用進行整體的考量,設(shè)計的電路能夠符合單一操作的要求,進行優(yōu)化的職能選擇。在設(shè)計形成初期進行整體研究,包含對電子電路的測試實踐。重視對電子電路的調(diào)試和功能定位,保證未來工作進行的順利要求。重視電子電路功能的設(shè)計才是保證產(chǎn)品能夠高效率工作和服務(wù)的基礎(chǔ),也是確認核心功能和輔助功效的重要工作內(nèi)容。實現(xiàn)設(shè)計初期的檢查和測試,能夠保證設(shè)備未來使用的優(yōu)越性。

3調(diào)試儀器概述

具體的電子電路設(shè)計功能測試與調(diào)節(jié)工作要求的比較準確和細致,在實際的工作過程當匯總需要進行相關(guān)儀器的使用和完善,避免當中一些環(huán)節(jié)出現(xiàn)問題。在調(diào)試儀器使用的過程中涉及到眾多的零部件,包含萬能用的工具表,顯示波動幅度的器械,以及信號發(fā)出的設(shè)備等。針對具體的調(diào)試工作進行觀察,玩能用的工具表主要是為了測量設(shè)備使用期間的電流量和電壓力,以及存在的電阻等元素。顯示波動幅度的器械主要是為了更準確的測量信號,關(guān)注波動變化。信號的發(fā)出設(shè)備是為了在監(jiān)測過程中收集信息,確定監(jiān)測工作準確性和保證基本交流。

4電子電路調(diào)試具體流程

電子電路的調(diào)試工作可以劃分為諸多細致的流程,在具體工作開展的過程中還需要進行整體工作的完善和優(yōu)化。調(diào)試的工作需要進行電路的線路監(jiān)測,在實際的工作驗收中觀察通電的效果。調(diào)試的工作還需要確保對電子設(shè)備的功能監(jiān)測,保證實際的工作過程能夠正常的運作,充分實現(xiàn)對信息傳播的要求。在實際工作開展的過程當中要進行電源的調(diào)試,減少工作阻礙,進行指標的規(guī)范和數(shù)據(jù)的驗收。除此之外,調(diào)試工作還可以劃分為兩種方式,分別是整體和分區(qū)域的調(diào)試工作。細致的劃分主要是為了給保證驗收工作的嚴謹性要求。最后需要針對環(huán)境進行監(jiān)測,考量實際工作需求進行優(yōu)化處理。

5調(diào)試工作需要重視問題

在調(diào)試工作進行過程當中還需要重視對工作細節(jié)的優(yōu)化處理,保證人員施工的科學性安排,在實際的操作過程當中需要進行設(shè)備功能的優(yōu)化,確保功能的準確性要求。重視對細節(jié)工作的監(jiān)督和管理,在調(diào)試的信息記錄中掌握數(shù)據(jù)中存在的差異,為維護系統(tǒng)工作提供良好的基礎(chǔ),也有助于及時的解決系統(tǒng)工作出現(xiàn)的問題。除此之外,還需要認識到系統(tǒng)調(diào)試工作反復(fù)執(zhí)行的重要性,針對測量工作進行反復(fù)的操作才能夠保證電子電路的設(shè)計符合實際生產(chǎn)需求。

6結(jié)論

綜上所述,本次研究針對電子電路設(shè)計的相關(guān)工作展開分析和研究,希望在實際的工作過程當中掌握實踐的工作經(jīng)驗,在未來的電子電路設(shè)計工作當中采取先進的科學手段,實現(xiàn)對相關(guān)工作內(nèi)容的整合,滿足時展的進步要求。在傳統(tǒng)電子電力設(shè)計的相關(guān)工作基礎(chǔ)上實施切實有效的完善策略,保證基本工作的流暢性原則,在實施科學有效的方式和方法進行相關(guān)設(shè)計工作的管理,滿足實際工作的需要,進行不同線路的測試和驗收,保證電子電線設(shè)計工作的優(yōu)越功能。重視對電子電路工作的設(shè)計工作,在實際工作開展的過程中進行調(diào)試工作的監(jiān)督與管理,進一步促進我國現(xiàn)代化生產(chǎn)效率的提升。

參考文獻

[1]許小飛,方樺.電子電路設(shè)計的原則、方法以及步驟探討[J].電子制作,2016(10):45.

[2]丘嶸,涂用軍.基于工作過程的學習情境設(shè)計的關(guān)鍵要素及途徑與方法——以“電子電路調(diào)試與應(yīng)用”課程為例[J].職教通訊,2013(12):5-8.

第3篇:電路設(shè)計的基本方法范文

1、課程目標

使學生具備本專業(yè)的高素質(zhì)技術(shù)應(yīng)用型人才所必需的電子電路邏輯設(shè)計基本知識和靈活應(yīng)用常用數(shù)字集成電路實現(xiàn)邏輯功能的基本技能;為學生全面掌握電子設(shè)計技術(shù)和技能,提高綜合素質(zhì),增強職業(yè)變化的適應(yīng)能力和繼續(xù)學習能力打下一定基礎(chǔ);通過項目的引導(dǎo)與實現(xiàn),培養(yǎng)學生團結(jié)協(xié)作、敬業(yè)愛崗和吃苦耐勞的品德和良好職業(yè)道德觀。本課程目標具體包括知識目標、能力目標和素質(zhì)目標。

(1)知識目標:熟悉數(shù)字電子技術(shù)的基本概念、術(shù)語,熟悉邏輯代數(shù)基本定律和邏輯函數(shù)化簡;掌握門電路及觸發(fā)器的邏輯功能和外特性;掌握常用組合邏輯電路和時序電路的功能及分析方法,學會一般組合邏輯電路的設(shè)計方法(用SSI和MSI器件),學會同步計數(shù)器的設(shè)計方法;熟悉脈沖波形產(chǎn)生與變換電路的工作原理及其應(yīng)用;了解A/D,D/A電路及半導(dǎo)體存儲器、PLA器件的原理及其應(yīng)用。

(2)能力目標:具有正確使用脈沖信號發(fā)生器、示波器等實驗儀器的能力;具有查閱手冊合理選用大、中、小規(guī)模數(shù)字集成電路組件的能力;具有用邏輯思維方法分析常用數(shù)字電路邏輯功能的能力;具有數(shù)字電路設(shè)計初步的能力。

(3)素質(zhì)目標:培養(yǎng)學生學習數(shù)字電路的興趣;培養(yǎng)學生團結(jié)合作的意識,培養(yǎng)學生自己查找資料能力。

2、課程定位

《邏輯設(shè)計》是計算機應(yīng)用技術(shù)專業(yè)和電子信息類專業(yè)的一門重要硬件基礎(chǔ)課,其理論性和實踐性很強,尤其強調(diào)工程應(yīng)用。是現(xiàn)代電子技術(shù)、計算機硬件電路、通信電路、信息與自動化技術(shù)的和集成電路設(shè)計的基礎(chǔ)。在高速發(fā)展的電子產(chǎn)業(yè)中數(shù)字電路具有較簡單又容易集成。通過本課程學習,熟悉小中大規(guī)模數(shù)字集成電路分析與應(yīng)用,突出數(shù)字電子技術(shù)應(yīng)用性,獲得數(shù)字電子技術(shù)必要的基本理論基本知識和基本技能;了解數(shù)字電子技術(shù)的應(yīng)用和發(fā)展概況,為后繼課程及從事相關(guān)工程技術(shù)工作和科研與設(shè)計工作打下一定基礎(chǔ)。《邏輯設(shè)計》在電子信息專業(yè)課程的地位,表現(xiàn)在其先導(dǎo)課程為《電工電子技術(shù)》,要求學生掌握由分立元器件組成的電子電路的識別與檢測、與基本分析方法,掌握有關(guān)晶體管以及晶體管電路的分析方法等;其后續(xù)課程有《微機原理與接口技術(shù)》、《單片機技術(shù)應(yīng)用》、《EDA技術(shù)應(yīng)用》等。學習集成電路芯片在計算機及相關(guān)電子設(shè)備中的應(yīng)用與作用。

二、邏輯設(shè)計課程教學內(nèi)容

1、教學內(nèi)容選取依據(jù)

(1)以培養(yǎng)高素質(zhì)技能型人才為目標,教學內(nèi)容選擇與組織突出“以能力為本位,以職業(yè)實踐為主線,以項目主體--任務(wù)貫穿”為總體設(shè)計要求,在內(nèi)容的選取上,首先立足于打好基礎(chǔ)。在確?;靖拍睢⒒驹砗突窘虒W方法的前提下,簡化集成電路內(nèi)部結(jié)構(gòu)和工作原理的講述,減少小規(guī)模集成電路的內(nèi)容,盡可能多地介紹中大規(guī)模集成電路及其應(yīng)用。以能力培養(yǎng)為主線,以應(yīng)用為目的,突出思路與方法闡述,力求反映當今數(shù)字電子技術(shù)的新發(fā)展。

(2)在教材內(nèi)容編排上精心組合,深入淺出,做到概念清晰,邏輯設(shè)計思想嚴謹。教學實施中注重重點突出,層次分明,相互銜接,邏輯性強,以利于教學做一體化的整合。在講義上力求簡潔流暢,通俗易懂,便于學生自學。

(3)以實訓(xùn)項目為載體,采取任務(wù)驅(qū)動教學做一體化的實施,體現(xiàn)理論指導(dǎo)實踐,實踐深化理論的素質(zhì)養(yǎng)成目的。

(4)依據(jù)各學習項目的內(nèi)容總量以及在該門課程中的地位分配各學習項目的課時數(shù)。

(5)知識學習程度用語主要使用“了解”、“理解”、“能”或“會”等用來表述。“了解”用于表述事實性知識的學習程度,“理解”用于表述原理性知識的學習程度,“能”或“會”用于表述技能的學習程度。

2、教學具體內(nèi)容安排

表決器電路設(shè)計與制作,搶答器電路設(shè)計與制作,同步計數(shù)器電路設(shè)計與制作,方波發(fā)生器電路設(shè)計與制作,數(shù)字鐘電路設(shè)計與制作。

三、邏輯設(shè)計課程教學模式與手段

1、教材編寫

教材編寫體現(xiàn)項目課程的特色與設(shè)計思想,教材內(nèi)容體現(xiàn)先進性、實用性,典型產(chǎn)品的選取科學,體現(xiàn)地區(qū)產(chǎn)業(yè)特點,具有可操作性。呈現(xiàn)方式圖文并茂,文字表述規(guī)范、正確、科學。

2、教學模式

采取項目教學,以工作任務(wù)為出發(fā)點來激發(fā)學生的學習興趣,教學過程中要注重創(chuàng)設(shè)教育情境,采取“教學做”一體化的教學模式,將知識、能力、素質(zhì)的培養(yǎng)緊密結(jié)合,進一步加強職業(yè)教育教學改革研究,優(yōu)化完善我校應(yīng)用型人才培養(yǎng)體系。

3、教學方法

從教學手段、教案設(shè)計、教學思路、語言表述、教學資源等方面著手,對如何在課堂教學中提高學生的學習主動性和興趣開展教研。教學過程有進行項目引導(dǎo),任務(wù)貫穿,“提出問題”、“引導(dǎo)思考”、“假設(shè)結(jié)論”、“探索求證”,把握課程的進度,活躍課堂氣氛,使大多數(shù)學生能夠獲得盡可能大的收獲。采用“發(fā)現(xiàn)法”教學方式,使學生建立科學的思維方法與創(chuàng)新意識。學習內(nèi)容的掌握依賴于學習者的實踐,課程組加強了對教師教學及學生學習過程的管理;為使學生理解和有效掌握課程內(nèi)容,在堅持課外習題練習、輔導(dǎo)答疑等教學環(huán)節(jié)的基礎(chǔ)上,增加隨堂練習、單元測驗等即時性練習環(huán)節(jié),督促學生復(fù)習和掌握已學知識點。

4、教學手段

充分利用掛圖、投影、多媒體等現(xiàn)代化手段,發(fā)揮網(wǎng)絡(luò)突破空間距離限制的優(yōu)勢,讓學生能夠最大限度的利用學習資源,自主地學習和提高,彌補課堂上未能及時消化吸收的部分內(nèi)容。教學過程中相應(yīng)教學班成立課程提高學習小組,任課教師課外指導(dǎo)該小組進行拓展學習及課外科技活動指導(dǎo),達到因材施教的目的;一方面教師指導(dǎo)有興趣能力強的學生進行課外學習,特別是對數(shù)字系統(tǒng)設(shè)計知識的答疑指導(dǎo),為能力強的學生提供發(fā)展空間,解決因課時數(shù)限制而無法在課堂上深入講授特定工程應(yīng)用專題的矛盾。也加強了教師與學生的互動,教師可以第一手了解學生對教學過程的反饋,改進教學方法,利用學習好的學生帶動整個班級的學習,促進良好班風學風的形成。探討當前教學環(huán)境下,培養(yǎng)學生課外學習能力的新模式。

第4篇:電路設(shè)計的基本方法范文

關(guān)鍵詞:數(shù)字電路;教學體系;重構(gòu);設(shè)計

中圖分類號:G642.0?搖 文獻標志碼:A 文章編號:1674-9324(2014)06-0165-02

一、概述

數(shù)字技術(shù)是近幾十年發(fā)展最快的技術(shù),其發(fā)展對人類社會產(chǎn)生著深遠的影響。作為數(shù)字技術(shù)硬件基礎(chǔ)的數(shù)字電路遵循摩爾定律,在幾十年中經(jīng)歷了從分立電路到集成電路的設(shè)計歷程,到現(xiàn)在已進入片上網(wǎng)絡(luò)(Network on Chip,NoC)的階段。從數(shù)字電路的晶體管電路時代,歷經(jīng)中小規(guī)模集成電路設(shè)計時代,到現(xiàn)在廣泛采用EDA工具進行ASIC設(shè)計以及基于FPGA進行設(shè)計的時代,電路設(shè)計的每一步發(fā)展過程都產(chǎn)生過很多重要的設(shè)計思想及設(shè)計方法。這些設(shè)計思想及方法的累積構(gòu)成了現(xiàn)在的數(shù)字電路教學體系。然而,由于新舊體系高速更迭,使得目前的數(shù)字電路教學體系呈現(xiàn)一種拼接的模式,整體內(nèi)容缺少因果鏈接,電路的邏輯設(shè)計、功能設(shè)計和性能設(shè)計三方面脫節(jié)。這種現(xiàn)狀與當前數(shù)字技術(shù)領(lǐng)域?qū)θ瞬诺囊髽O不適應(yīng)。要對現(xiàn)狀有所改革,首先需要對數(shù)字電路各部分內(nèi)容有所了解,從中提取適應(yīng)發(fā)展的部分,重新構(gòu)成一個自洽的課程內(nèi)容體系。本文希望通過對現(xiàn)有課程中不同部分內(nèi)容進行分析,在此方面進行一些嘗試。

二、基于晶體管的設(shè)計

目前,數(shù)字集成電路采用的主要工藝是CMOS工藝,在這種工藝條件下,電路邏輯結(jié)構(gòu)由MOS晶體管擔任開關(guān)作用來實現(xiàn)。MOS晶體管分為PMOS和NMOS兩種形式,分別用于傳導(dǎo)高電平(1)和低電平(0),如圖1所示。邏輯輸入控制晶體管的柵極,連通的晶體管支路由電源或地為邏輯輸出提供標準輸出電平,如圖2所示。在晶體管的相互連接中,NMOS的串聯(lián)可以實現(xiàn)AND運算,并聯(lián)實現(xiàn)OR運算,由此可以形成各種基本的邏輯單元,如圖3所示,這些邏輯單元的進一步連接可以形成各種功能電路。

在目前國內(nèi)外教材的分析中,對此類電子電路的評價主要集中于晶體管數(shù)量。如何在設(shè)計中減少晶體管的使用量成為設(shè)計的主要目標?;谶@一考慮,在基本單元層次,發(fā)展了AOI電路結(jié)構(gòu),將“與-或”二級結(jié)構(gòu)形成一個整體,晶體管數(shù)量只與初級與門輸入的數(shù)量相關(guān)。在功能設(shè)計的層次,引入卡諾圖對邏輯方程進行最小化,其目標也是通過減少初級門輸入端的數(shù)量來實現(xiàn)晶體管數(shù)量的減少。上述設(shè)計方法能夠非常準確地表達數(shù)字電路的邏輯體系實現(xiàn),并能建立組合邏輯的卡諾圖分析設(shè)計方法和時序邏輯的轉(zhuǎn)移輸出表的分析設(shè)計方法,為數(shù)字電路的規(guī)范化設(shè)計體系奠定了很好的基礎(chǔ),也構(gòu)成了目前數(shù)字電路設(shè)計的理論基礎(chǔ)。但在目前的教學體系中,這種設(shè)計方法只是將晶體管作為標準開關(guān)器件使用。由于缺少有效的評價體系,目前邏輯分析僅停留在簡單電路的分析設(shè)計,在中規(guī)模功能電路的分析設(shè)計中,幾乎沒有采用這一體系。在VLSI的設(shè)計時代,對電路性能的評價主要表現(xiàn)為集成度(占用芯片面積、成本)、速度(最長延遲時間、最高時鐘頻率)和功耗(最大功耗、平均功耗)等指標上。要實現(xiàn)同樣的功能,利用邏輯定理可以設(shè)計出很多不同結(jié)構(gòu)的電路,最優(yōu)化成為設(shè)計中的中心環(huán)節(jié)。而要實現(xiàn)這一目標,在基本邏輯結(jié)構(gòu)形成的階段就需要補充對于相關(guān)性能的描述模型。

三、基于中小規(guī)模集成塊的設(shè)計

在上世紀70~80年代,為了應(yīng)對數(shù)字技術(shù)的廣泛采用,發(fā)展了以74系列為代表的各種中小規(guī)模集成塊。不同領(lǐng)域的用戶可以選用盡可能少的通用集成塊連接形成電路,滿足自己的特殊系統(tǒng)需求。為了使用上的方便,中小規(guī)模集成塊在外型和I/O端口性能方面都進行了統(tǒng)一標準設(shè)計,其輸入/輸出特性由Data sheet詳細規(guī)定,用戶在使用時可以不忽略其內(nèi)部電路工藝及邏輯形成方式,只根據(jù)設(shè)計要求選取對應(yīng)功能塊,根據(jù)端口特性設(shè)計外部負載連接電路。考慮到通用模塊可能需要對模擬器件進行驅(qū)動,此類電路通常都配備了強大的對外驅(qū)動電路,導(dǎo)致集成芯片中主要部分為I/O部件,邏輯功能部分只占據(jù)了集成芯片的次要部分。為了增加模塊的通用性,通常會在基本功能的基礎(chǔ)上添加許多額外的控制/狀態(tài)端口(與集成塊的總體成本相比,這些添加幾乎不增加成本,但能夠帶來市場上的好處)。由于電路的成本、速度、功耗主要由I/O部件及外殼決定,簡單邏輯與復(fù)雜功能的模塊在價格和速度上相差不大,用戶傾向于選用復(fù)雜功能模塊來構(gòu)成電路(使用模塊的部分功能),而不是選用基本邏輯部件構(gòu)成電路,電路設(shè)計的主要目標成為選擇最少邏輯塊及最少連線進行設(shè)計,與邏輯設(shè)計基本脫離關(guān)系。在目前的教學體系中,關(guān)于邏輯單元靜態(tài)與動態(tài)特性的討論基本采用這種方式講解;各種組合功能電路的設(shè)計和時序功能電路的設(shè)計(二進制計數(shù)器、移位寄存器等)都采用此類方式。由于目前的實驗條件,以及學生創(chuàng)新活動中自己設(shè)計小系統(tǒng)的需要,中小規(guī)模集成塊仍然具有重要的使用價值,相關(guān)內(nèi)容也就構(gòu)成了數(shù)字電路課程教學中功能設(shè)計的主體部分。然而,中小規(guī)模集成塊作為一種集成度低下的分立設(shè)計,其高成本和低速度是其不可避免的缺陷。如何將相應(yīng)內(nèi)容與低層邏輯設(shè)計合理地結(jié)合,將電路性能的評價帶入到對不同結(jié)構(gòu)設(shè)計的選擇上,是解決這一問題的關(guān)鍵。在ASIC設(shè)計中,不會無謂地設(shè)計不需要用到的所謂多功能擴展,對功能模塊的教學改革應(yīng)該首先著眼于基本功能的最佳實現(xiàn)方式,然后考慮在不同應(yīng)用中的最佳擴展設(shè)計方式。目前基于多功能器件進行設(shè)計,利用其部分電路的設(shè)計方式對中小規(guī)模集成塊是優(yōu)化的方式,但對于片上設(shè)計就是一種浪費的設(shè)計了。

四、基于HDL的設(shè)計

隨著計算機技術(shù)的廣泛采用,數(shù)字集成電路的設(shè)計也進入EDA時代。HDL使電路的設(shè)計描述和仿真驗證可以利用計算機工具進行,方便于層次化設(shè)計中信息的交流、保存、修改,有效提高了設(shè)計效率,降低了設(shè)計成本。同時,基于FPDA的設(shè)計也成為中間設(shè)計的主流方式。為了適應(yīng)這種發(fā)展,現(xiàn)行數(shù)字電路課程中開始引入HDL語言的內(nèi)容,并對各種功能電路的描述編程進行了足夠詳細的介紹。同時也對FPGA的基本結(jié)構(gòu)進行了介紹。利用這些內(nèi)容,學生能夠方便地使用計算機系統(tǒng)開展各類數(shù)字設(shè)計,擴大了數(shù)字電路的應(yīng)用教學,通過對設(shè)計的仿真也能夠更好地理解電路性能與設(shè)計的關(guān)系,使學生對數(shù)字電路設(shè)計有更實際的理解,也便于開展課程設(shè)計和各種實驗活動。HDL是一種硬件電路的描述工具,主要幫助仿真過程的自動進行。而目前關(guān)于HDL的教學中,很少將電路邏輯與性能的關(guān)系反映到語言描述中,使語言的描述淪為對電路功能的描述,失去了EDA工具的使用本意。對電路性能描述中比較容易的是對延遲時間(或時鐘頻率限制)的描述。若要進行這方面的描述,HDL必須基于最基本的邏輯單元,設(shè)計者應(yīng)對各種基本部件的時間延遲以及連線負載帶來的時間延遲有足夠的了解。而電路的功能設(shè)計描述則必須基于這種帶時間延遲的部件互連設(shè)計(結(jié)構(gòu)設(shè)計的描述)。此點在目前的HDL的教學中應(yīng)特別強調(diào)。同時需要注意到,這種仿真一定要在與綜合無關(guān)的工具上進行。對設(shè)計集成度的衡量取決于電路設(shè)計的綜合方式。目前,在EDA設(shè)計領(lǐng)域尚未建立一種統(tǒng)一的綜合方式,不同的綜合工具采用不同的算法結(jié)構(gòu),綜合效率各有不同。雖然綜合算法本質(zhì)上是基于基本邏輯優(yōu)化理論建立的,但其中涉及的各種數(shù)學理論很多,不是數(shù)字電路這門課程能夠解決的。因此,本課程無法涉足綜合領(lǐng)域,也難以將課程內(nèi)容與綜合工具得到的結(jié)果形成對應(yīng)關(guān)系。如何將基本理論與綜合算法聯(lián)系起來,形成一個統(tǒng)一的系統(tǒng),應(yīng)該是數(shù)字電路課程未來一段時間的改革目標。目前,很多的免費EDA工具采用FPGA作為綜合的基礎(chǔ),這種綜合工具的優(yōu)點是能夠方便地得到所設(shè)計電路的評價(占用單元數(shù)量、延遲時間、時鐘頻率)。然而,由于FPGA設(shè)計的基礎(chǔ)是4輸入查找表(等價于4輸入卡諾圖的最小項和設(shè)計),在基本邏輯層次上可以認為未進行任何化簡,集成度低、延遲時間長。同時綜合工具會根據(jù)4輸入查找表建立優(yōu)化算法進行綜合,由此將用戶進行的結(jié)構(gòu)設(shè)計思想抹殺,不利于課程內(nèi)容的相互銜接。如果要理解其綜合結(jié)構(gòu),就必須首先建立FPGA基本單元和布線方式的電路參數(shù)模型,然后在此基礎(chǔ)上建立獨特的綜合算法。目前,本課程難以完成這一任務(wù)。

五、統(tǒng)一體系的思考

基于上述分析,可以看到目前數(shù)字電路面臨的困境,也展現(xiàn)了建立一個統(tǒng)一體系的需求。統(tǒng)一體系應(yīng)該以電路性能參數(shù)(集成度、速度等)作為評價模型,著重考慮ASIC和VLSI設(shè)計中的需求。評價模型應(yīng)該由底層基本器件(晶體管)開始分析建立,繼承現(xiàn)有體系中關(guān)于邏輯設(shè)計的思想,將性能評價延伸到邏輯模塊和功能模塊層次;邏輯層次的設(shè)計中,主要展現(xiàn)功能的不同結(jié)構(gòu)實現(xiàn)方式,為電路設(shè)計提供靈活性;而在功能層次的設(shè)計中,則通過對不同結(jié)構(gòu)的性能進行比較,確定電路的最佳形成方式。HDL的設(shè)計應(yīng)該將速度的評價融入到電路結(jié)構(gòu)的描述中,并通過仿真工具的應(yīng)用使這一評價能夠推廣到大系統(tǒng)中,對同步時序設(shè)計提供支持。

參考文獻:

[1]姜書艷,羅剛,呂小龍,鄧罡,周啟忠.片上網(wǎng)絡(luò)互連串擾故障模型的研究及改進[J].電子測量技術(shù),2012,35(4):123-127.

[2]姜書艷,羅剛,呂小龍,金衛(wèi),謝暄.90nm和65nm工藝下片上網(wǎng)絡(luò)互連串擾故障模型分析[J].電子測量與儀器學報,2012,26(3):267-272.

[3]艾明晶.基于自動設(shè)計方法的數(shù)字邏輯課程改革研究與實踐[J].實驗技術(shù)與管理,2012,29(9):151-155.

[4]張?zhí)O珍,王俊峰,仲濤.VHDL在數(shù)字邏輯電路設(shè)計中的應(yīng)用方法[J].信息通信,2012,(5):96-97.

[5]張麗杰,呂少中.QuartusⅡ軟件在數(shù)字邏輯電路教學中的應(yīng)用[J].軟件導(dǎo)刊,2012,11(4):199-200.

[6]曹維,徐東風,孫凌潔.基于CDIO理念的數(shù)字邏輯實踐教學探索[J].計算機教育,2012,(12):75-77.

[7]Frank Vahid. Digital Design with RTL Design,VHDL,and Verilog,A John Wiley & Sons,Inc.,Publication.2011:41-48.

第5篇:電路設(shè)計的基本方法范文

【關(guān)鍵詞】高速數(shù)字電路 設(shè)計技術(shù) 計算機

在微電子技術(shù)飛速發(fā)展中,高速電子電路器件不斷被應(yīng)用,在現(xiàn)階段的電子設(shè)計領(lǐng)域中,高速數(shù)字電路設(shè)計已經(jīng)被廣泛應(yīng)用。高速數(shù)字電子電路設(shè)計是一門處在不斷發(fā)展與進步中的學科,目前有很多理論尚處于研究與發(fā)展中。在我國,現(xiàn)階段的高速數(shù)字電路設(shè)計在一定程度上取得了一些成績,但是大部分都是偏于理論方面的,對于實踐操作方面還有一定的欠缺。所以,從高速電路設(shè)計的角度來看,了解和掌握高速數(shù)字電路設(shè)計方法對于實踐工程的指導(dǎo)工作有著非常直接的作用。

1 什么是高速數(shù)字電路

高速數(shù)字電路的概念:是一種由高速變化信號在電路中所產(chǎn)生的具備諸如:電容、電感等模擬特性作用的電路,其主要是由集中參數(shù)系統(tǒng)和分布參數(shù)系統(tǒng)兩個部分組成。其中,集中參數(shù)系統(tǒng)對低速數(shù)字電路設(shè)計進行了簡化處理,使其始終處于一種較為理想的狀態(tài),所以集中參數(shù)系統(tǒng)不適用于高速數(shù)字電路技術(shù),而是在低速數(shù)字電路設(shè)計中得到了廣泛的應(yīng)用;分布參數(shù)系統(tǒng)則比較適合用于高速數(shù)字電路設(shè)計中。分布參數(shù)系統(tǒng)的概念與實際運行情況比較接近,其通常認為信號時間與其所處的位置對信號的特性有著決定性作用,所以元器件間的線路長度會對信號特性產(chǎn)生影響,另外,線路中的信號進行傳輸時需要一定的延遲。

2 影響高速數(shù)字電路設(shè)計技術(shù)的問題

高速數(shù)字電路設(shè)計成功與否取決于信號的質(zhì)量,也就是信號完整性的保持,若是無法保持信號完整性,那么就會出現(xiàn)信號失真的現(xiàn)象,影響正確數(shù)據(jù)、地址以及控制信號的生成,進而導(dǎo)致系統(tǒng)工作出現(xiàn)錯誤,嚴重的甚至會導(dǎo)致系統(tǒng)崩潰。對信號質(zhì)量產(chǎn)生影響的因素非常多,但是,對信號完整性產(chǎn)生影響的因素主要有以下三點:

系統(tǒng)中處于信號傳輸線位置的阻抗不相匹配,容易形成反射噪聲,這是破壞信號完整性的主要原因;信號線間的距離隨著處于印刷板位置的電路密集度不斷增大而變的愈加狹小,這就導(dǎo)致信號間的電磁耦合增大,以至于無法對其進行忽略處理,進而造成信號間的串擾情況越加嚴重;處于芯片內(nèi)的大量電路輸出同時動作的過程中,因為寄生于電源平面間電感和電阻的影響,就會出現(xiàn)較大的瞬態(tài)電流,進而對電源線和地線上的電壓產(chǎn)生影響,使其發(fā)生波動和變化。

總而言之,對電路進行合理的設(shè)計,減小或是消除上述因素對信號完整性的影響,促進高速數(shù)字信號質(zhì)量的提高,已經(jīng)成為現(xiàn)階段所有高速數(shù)字電路設(shè)計所需要解決的主要問題。

3 高速數(shù)字電路設(shè)計技術(shù)的具體研究

3.1 設(shè)計高速數(shù)字電路信號完整性

針對高速數(shù)字電路信號完整性的設(shè)計主要包括兩個方面內(nèi)容:第一個是研究不同信號在電路信號網(wǎng)中所產(chǎn)生的干擾,第二個是研究不同電路信號網(wǎng)傳輸信號的干擾,簡單來說,也就是研究反射和干擾的問題。由于電路中不相匹配的阻抗因素等影響,反射問題在低速數(shù)字電路設(shè)計中并不存在。數(shù)字電路網(wǎng)在理想狀態(tài)下的不同阻抗是相等并相互匹配的,位于數(shù)字電路傳輸線上的阻抗處于連續(xù)的狀態(tài),因此反射現(xiàn)象不會出現(xiàn)在線路的電流和電壓中。進行設(shè)計數(shù)字電路時,阻抗過大或是過小都會導(dǎo)致電路傳播的波形產(chǎn)生干擾現(xiàn)象,進而對信號完整性造成影響。高速數(shù)字電路設(shè)計難以使電路與臨界阻抗的狀態(tài)相符合,因此保持系統(tǒng)處于過阻抗狀態(tài)是一個較為合適的方法。

設(shè)計高速數(shù)字電路時首先要考慮的就是感性串擾等問題。根據(jù)信號基本理論得出,電流在電路中是處于循環(huán)流動的狀態(tài),這一方面往往會被數(shù)字電路設(shè)計工作人員所忽視。信號的回路和路徑構(gòu)成了電流環(huán)路,電感在電路中隨著電流環(huán)路的增大而變大,而環(huán)路中的電流也會隨著其中的電磁場變化而發(fā)生改變。盡可能的對電流環(huán)路進行減小處理,對感性串擾起到了降低的作用,在設(shè)計高速數(shù)字電路中,主要可以通過兩個方法來進行,即對線路距離進行增加和對電流環(huán)路面積進行減小的處理,以此來提高高速數(shù)字電路信號的完整性。

3.2 設(shè)計高速數(shù)字電路電源

設(shè)計高速數(shù)字電路需要應(yīng)用大量的低電壓元器件,其對電源的穩(wěn)定性造成了一定的影響,這也是設(shè)計數(shù)字電路所要考慮的一個主要因素。電源完整性指的是電源在系統(tǒng)運行中的波動情況,也就是電源的波形質(zhì)量。在高速數(shù)字電路設(shè)計中能夠?qū)﹄娫捶€(wěn)定性造成影響有:由處于高速開關(guān)狀態(tài)下線路器件所產(chǎn)生的過大的瞬間電流,以及數(shù)字電路中過多的電感所導(dǎo)致的變大的信號回路阻抗。

高速數(shù)字電路設(shè)計的理想狀態(tài)是其電源系統(tǒng)中不存在阻抗,由于整個信號回路不存在阻抗的耗損問題,可以使電源系統(tǒng)中各個點的電位保持恒定。但是,在實際中并不存在這種狀態(tài),電源分配系統(tǒng)往往會產(chǎn)生嚴重的干擾噪聲,進而對整個電路的正常運行造成影響。在進行高速數(shù)字電路設(shè)計時,要充分考慮到電源的電感和電阻因素,對其進行降低處理?,F(xiàn)階段在電路系統(tǒng)中大多都是采用大面積的銅質(zhì)材料,這遠遠不能滿足高速電路設(shè)計的標準和要求,因此在設(shè)計的過程中還要對其它影響因素進行綜合的考慮,其中將去耦電容運用到電路中就是一個非常簡單有效的方法。

4 結(jié)語

綜上所述,電子設(shè)計正在朝著速度快、密度高的方向發(fā)展和進步,在這種狀態(tài)下的電子系統(tǒng),為了能夠?qū)Ω咚贁?shù)字電路設(shè)計問題進行有效合理的解決,對高速數(shù)字電路設(shè)計方法和手段進行創(chuàng)新和改進是勢在必行的。不斷促進高速數(shù)字電路設(shè)計方法可行性的提高,為其在現(xiàn)代化技術(shù)的發(fā)展進程中不斷進步提供了可靠保障。

參考文獻

[1]李琳琳.高速數(shù)字電路設(shè)計中電源完整性分析[J].火控雷達技術(shù),2010(02).

[2]馮巨標.高速數(shù)字電路電源分配網(wǎng)絡(luò)的近場電磁干擾研究[D].哈爾濱工業(yè)大學,2012.

[3]付亞如.淺談高速數(shù)字電路特性與信號完整性設(shè)計[J].黑龍江科技信息,2011(04).

[4]張婧.高速數(shù)字電路信號完整性仿真設(shè)計與驗證[D].西安電子科技大學,2013.

第6篇:電路設(shè)計的基本方法范文

1.元件的選擇.電學實驗中,元件的選擇十分重要,它關(guān)乎著電路是否能設(shè)計成功.首先應(yīng)該選擇合適的電源,在選擇時一定要考慮符合電路設(shè)計的電流值,其次還要對電表進行選擇,盡量選擇更貼近自己需要的量程,保證設(shè)計的精確性.還要選擇適合電路的元件的型號等,將這些問題都進行全面考慮才能保證實驗的進行.2.了解元件的使用方法.電路設(shè)計中存在許多電路元件,要想電學實驗?zāi)茼樌倪M行,就必須了解各種電路元件的使用方法和使用規(guī)則.例如電表,電表上顯示兩個數(shù)值,如果不提前進行了解很容易將數(shù)值弄混.造成實驗結(jié)果的錯誤.因此電學實驗中電路設(shè)計時一定要先弄清電路元件的使用方法,才能保障實驗的進行.3.熟悉電路構(gòu)成,加強對特殊電路的記憶與理解電學實驗中有許多特殊的電路,如果內(nèi)心沒有一個完整的電路構(gòu)成圖,在遇到這些特殊電路時,就沒有辦法將實驗順利開展下去.因此在實驗前一定要加強對電路構(gòu)成的設(shè)計.

二、電路設(shè)計的原則

1、整體性原則.在電路設(shè)計時不能將每一部分分開設(shè)計,電路的各個部分的關(guān)聯(lián)性都很強,必須以整體性的原則進行設(shè)計,電流、電壓的選擇等都是根據(jù)電路的整體方案進行選擇的.2、優(yōu)化原則.電路設(shè)計不是一個簡單的電學實驗,它有龐大的系統(tǒng)性,在這個系統(tǒng)里又有許多小系統(tǒng),這樣才能形成一個完整的電路,電路設(shè)計時或多或少都會有一定的問題存在,出現(xiàn)這些問題不能視而不見,要將問題進行整合,拿出一套合理的改進方案,將電路設(shè)計達到最佳的設(shè)計效果.3、功能性原則.電學實驗電路設(shè)計不是讓學生完成一個簡單的實驗,目的是為了讓學生通過電路設(shè)計來掌握一定的學習技能,這才是進行電路設(shè)計最終要完成的目標,所以在電路設(shè)計上一定要考慮它的功能性.

三、電路設(shè)計的方法

1.明確實驗?zāi)康模械膶嶒炘O(shè)計都有一個設(shè)計目的,為了達到這個目的才來進行實驗操作,電路設(shè)計前也應(yīng)該如此,首先要設(shè)定一個實驗的目標,然后再進行實驗,實驗結(jié)束后來看看自己的實驗結(jié)果是否達到了設(shè)計目的,才能從中分析思路找到設(shè)計的缺陷,從而進行改進.2.選擇實驗器材.實驗設(shè)計除了理論的知識還需要實驗器材的支撐,我們明確了實驗的目的后就要進行實驗器材的選擇,選擇時一定要配合自己的設(shè)計目標,盡可能的保證實驗器材對實驗帶來的誤差影響,選擇最適宜的器材將誤差降到最低.選擇器材時還要考慮器材的操作性是否適用于自己的實驗設(shè)計中,避免在進行實驗時造成實驗失敗.在器材選擇上最應(yīng)該注意的就是器材的安全性,由于電路設(shè)計的復(fù)雜性往往會由于器材的選擇不當造成電路燒毀,因此在器材的選擇上這些問題都應(yīng)該被注意.3.選擇設(shè)計方案.電路設(shè)計是一種靈活的設(shè)計,不同的方案可以有不同的設(shè)計效果,實驗?zāi)康摹嶒炂鞑拇_定后根據(jù)這些內(nèi)容來進行分析選擇一些適宜的電路設(shè)計方案,將它們整理出來,繪制成設(shè)計圖,結(jié)合學過的理論知識加以比較選擇最適宜的設(shè)計方案.包括電流表應(yīng)設(shè)計內(nèi)接還是外接,滑動變阻器應(yīng)采取分壓式接法還是限流式接法,電路結(jié)構(gòu)原理選擇伏安法還是半偏法等等.保證電路的設(shè)計方案能順利的運用在電學實驗中.4.簡化電路方程.電路設(shè)計中有許多的電路方程,它們是非常復(fù)雜的,但是在電路設(shè)計時還必須要用到,如果不將其進行簡化在設(shè)計的過程中就會遇到許多麻煩,不僅會對電路的結(jié)構(gòu)產(chǎn)生較大的影響,還有可能造成電路系統(tǒng)紊亂,所以在進行電路設(shè)計前要在合理的范圍內(nèi)將復(fù)雜的電路方程簡化,保證電學實驗的有效進行.5.電路設(shè)計案例分析.在描繪標有“2.5V0.3A”字樣小燈泡的伏安特性曲線實驗中,使用3V干電池和滑動變阻器進行供電.該實驗本就要求小燈泡兩端的電壓從零起調(diào),所以也只能是選用分壓接法進行供電.只是在滑動變阻器的阻值選擇上,考慮到燈泡正常發(fā)光時的電阻為12.5Ω,因此最好是選用實驗室配備的5Ω或10Ω的滑動變阻器.電路實驗設(shè)計題其設(shè)計思路、方法一般都來源于教材,要求用學過的物理知識、原理、實驗思路、方法設(shè)計出合理的方案.因此在教學中或者復(fù)習過程中要特別注意對所學過電學實驗問題的多種方法、遠離的優(yōu)劣、電路聯(lián)接式的選擇方法以及有關(guān)的實驗注意事項進行歸納總結(jié).從中體會多種方法的優(yōu)劣,養(yǎng)成發(fā)散性思維的好習慣,才能比較順利完成實驗設(shè)計問題.高中物理電學實驗電路設(shè)計學習起來雖然復(fù)雜,但是如果方法得當,進行實驗前考慮的全面,在進行電路設(shè)計時就會相對簡單些.高中生進行實驗是對學生的理論知識及動手能力的考察,教師在學生的操作過程中也要加以指導(dǎo)幫助學生實驗的誤差變小,安全性提高,學生才能更好的將電學知識運用到考試中和實際生活中.

作者:湯從 單位:安徽省滁州市明光明光中學

參考文獻:

[1]王慧.中學生電學實驗?zāi)芰ΜF(xiàn)狀及影響因素研究[D].蘇州大學,2010.

[2]曹會.高中物理電學實驗資源開發(fā)與能力培養(yǎng)的初步研究[D].蘇州大學,2010.

[3]胡可玲.初中生電學學習中常見錯誤診斷性分析及策略[D].蘇州大學,2013.

第7篇:電路設(shè)計的基本方法范文

關(guān)鍵詞:IP技術(shù) 模擬集成電路 流程

中圖分類號:TP3 文獻標識碼:A 文章編號:1674-098X(2013)03(b)-00-02

1 模擬集成電路設(shè)計的意義

當前以信息技術(shù)為代表的高新技術(shù)突飛猛進。以信息產(chǎn)業(yè)發(fā)展水平為主要特征的綜合國力競爭日趨激烈,集成電路(IC,Integrated circuit)作為當今信息時代的核心技術(shù)產(chǎn)品,其在國民經(jīng)濟建設(shè)、國防建設(shè)以及人類日常生活的重要性已經(jīng)不言

而喻。

集成電路技術(shù)的發(fā)展經(jīng)歷了若干發(fā)展階段。20世紀50年代末發(fā)展起來的屬小規(guī)模集成電路(SSI),集成度僅100個元件;60年展的是中規(guī)模集成電路(MSI),集成度為1000個元件;70年代又發(fā)展了大規(guī)模集成電路,集成度大于1000個元件;70年代末進一步發(fā)展了超大規(guī)模集成電路(LSI),集成度在105個元件;80年代更進一步發(fā)展了特大規(guī)模集成電路,集成度比VLSI又提高了一個數(shù)量級,達到106個元件以上。這些飛躍主要集中在數(shù)字領(lǐng)域。

(1)自然界信號的處理:自然界的產(chǎn)生的信號,至少在宏觀上是模擬量。高品質(zhì)麥克風接收樂隊聲音時輸出電壓幅值從幾微伏變化到幾百微伏。視頻照相機中的光電池的電流低達每毫秒幾個電子。地震儀傳感器產(chǎn)生的輸出電壓的范圍從地球微小振動時的幾微伏到強烈地震時的幾百毫伏。由于所有這些信號都必須在數(shù)字領(lǐng)域進行多方面的處理,所以我們看到,每個這樣的系統(tǒng)都要包含一個模一數(shù)轉(zhuǎn)換器(AD,C)。

(2)數(shù)字通信:由于不同系統(tǒng)產(chǎn)生的二進制數(shù)據(jù)往往要傳輸很長的距離。一個高速的二進制數(shù)據(jù)流在通過一個很長的電纜后,信號會衰減和失真,為了改善通信質(zhì)量,系統(tǒng)可以輸入多電平信號,而不是二進制信號?,F(xiàn)代通信系統(tǒng)中廣泛采用多電平信號,這樣,在發(fā)射器中需要數(shù)一模轉(zhuǎn)換器(DAC)把組合的二進制數(shù)據(jù)轉(zhuǎn)換為多電平信號,而在接收器中需要使用模一數(shù)轉(zhuǎn)換器(ADC)以確定所傳輸?shù)碾娖健?/p>

(3)磁盤驅(qū)動電子學計算機硬盤中的數(shù)據(jù)采用磁性原理以二進制形式存儲。然而,當數(shù)據(jù)被磁頭讀取并轉(zhuǎn)換為電信號時,為了進一步的處理,信號需要被放大、濾波和數(shù)字化。

(4)無線接收器:射頻接收器的天線接收到的信號,其幅度只有幾微伏,而中心頻率達到幾GHz。此外,信號伴隨很大的干擾,因此接收器在放大低電平信號時必須具有極小噪聲、工作在高頻并能抑制大的有害分量。這些都對模擬設(shè)計有很大的挑戰(zhàn)性。

(5)傳感器:機械的、電的和光學的傳感器在我們的生活中起著重要的作用。例如,視頻照相機裝有一個光敏二極管陣列,以將像點轉(zhuǎn)換為電流;超聲系統(tǒng)使用聲音傳感器產(chǎn)生一個與超聲波形幅度成一定比例的電壓。放大、濾波和A/D轉(zhuǎn)換在這些應(yīng)用中都是基本的功能。

(6)微處理器和存儲器:大量模擬電路設(shè)計專家參與了現(xiàn)代的微處理器和存儲器的設(shè)計。許多涉及到大規(guī)模芯片內(nèi)部或不同芯片之間的數(shù)據(jù)和時鐘的分布和時序的問題要求將高速信號作為模擬波形處理。而且芯片上信號間和電源間互連中的非理想性以及封裝寄生參數(shù)要求對模擬電路設(shè)計有一個完整的理解。半導(dǎo)體存儲器廣泛使用的高速/讀出放大器0也不可避免地要涉及到許多模擬技術(shù)。因此人們經(jīng)常說高速數(shù)字電路設(shè)計實際上是模擬電路的

設(shè)計。

2 模擬集成電路設(shè)計流程概念

在集成電路工藝發(fā)展和市場需求的推動下,系統(tǒng)芯片SOC和IP技術(shù)越來越成為IC業(yè)界廣泛關(guān)注的焦點。隨著集成技術(shù)的不斷發(fā)展和集成度的迅速提高,集成電路芯片的設(shè)計工作越來越復(fù)雜,因而急需在設(shè)計方法和設(shè)計工具這兩方面有一個大的變革,這就是人們經(jīng)常談?wù)摰脑O(shè)計革命。各種計算機輔助工具及設(shè)計方法學的誕生正是為了適應(yīng)這樣的要求。

一方面,面市時間的壓力和新的工藝技術(shù)的發(fā)展允許更高的集成度,使得設(shè)計向更高的抽象層次發(fā)展,只有這樣才能解決設(shè)計復(fù)雜度越來越高的問題。數(shù)字集成電路的發(fā)展證明了這一點:它很快的從基于單元的設(shè)計發(fā)展到基于模塊、IP和IP復(fù)用的

設(shè)計。

另一方面,工藝尺寸的縮短使得設(shè)計向相反的方向發(fā)展:由于物理效應(yīng)對電路的影響越來越大,這就要求在設(shè)計中考慮更低層次的細節(jié)問題。器件數(shù)目的增多、信號完整性、電子遷移和功耗分析等問題的出現(xiàn)使得設(shè)計日益復(fù)雜。

3 模擬集成電路設(shè)計流程

3.1 模擬集成電路設(shè)計系統(tǒng)環(huán)境

集成電路的設(shè)計由于必須通過計算機輔助完成整個過程,所以對軟件和硬件配置都有較高的要求。

(1)模擬集成電路設(shè)計EDA工具種類及其舉例

設(shè)計資料庫―Cadence Design Framework11

電路編輯軟件―Text editor/Schematic editor

電路模擬軟件―Spectre,HSPICE,Nanosim

版圖編輯軟件―Cadence virtuoso,Laker

物理驗證軟件―Diva,Dracula,Calibre,Hercules

(2)系統(tǒng)環(huán)境

工作站環(huán)境;Unix-Based作業(yè)系統(tǒng);由于EDA軟件的運行和數(shù)據(jù)的保存需要穩(wěn)定的計算機環(huán)境,所以集成電路的設(shè)計通常采用Unix-Based的作業(yè)系統(tǒng),如圖1所示的工作站系統(tǒng)?,F(xiàn)在的集成電路設(shè)計都是團隊協(xié)作完成的,甚至工程師們在不同的地點進行遠程協(xié)作設(shè)計。EDA軟件、工作站系統(tǒng)的資源合理配置和數(shù)據(jù)庫的有效管理將是集成電路設(shè)計得以完成的重要保障。

3.2 模擬集成電路設(shè)計流程概述

根據(jù)處理信號類型的不同,集成電路一般可以分為數(shù)字電路、模擬電路和數(shù)?;旌霞呻娐?,它們的設(shè)計方法和設(shè)計流程是不同的,在這部分和以后的章節(jié)中我們將著重講述模擬集成電路的設(shè)計方法和流程。模擬集成電路設(shè)計是一種創(chuàng)造性的過程,它通過電路來實現(xiàn)設(shè)計目標,與電路分析剛好相反。電路的分析是一個由電路作為起點去發(fā)現(xiàn)其特性的過程。電路的綜合或者設(shè)計則是從一套期望的性能參數(shù)開始去尋找一個令人滿意的電路,對于一個設(shè)計問題,解決方案可能不是唯一的,這樣就給予了設(shè)計者去創(chuàng)造的機會。

模擬集成電路設(shè)計包括若干個階段,設(shè)計模擬集成電路一般的過程。

(l)系統(tǒng)規(guī)格定義;(2)電路設(shè)計;(3)電路模擬;(4)版圖實現(xiàn);(5)物理驗證;(6)參數(shù)提取后仿真;(7)可靠性分析;(8)芯片制造;(9)測試。

除了制造階段外,設(shè)計師應(yīng)對其余各階段負責。設(shè)計流程從一個設(shè)計構(gòu)思開始,明確設(shè)計要求和進行綜合設(shè)計。為了確認設(shè)計的正確性,設(shè)計師要應(yīng)用模擬方法評估電路的性能。

這時可能要根據(jù)模擬結(jié)果對電路作進一步改進,反復(fù)進行綜合和模擬。一旦電路性能的模擬結(jié)果能滿足設(shè)計要求就進行另一個主要設(shè)計工作―電路的幾何描述(版圖設(shè)計)。版圖完成并經(jīng)過物理驗證后需要將布局、布線形成的寄生效應(yīng)考慮進去再次進行計算機模擬。如果模擬結(jié)果也滿足設(shè)計要求就可以進行制造了。

3.3 模擬集成電路設(shè)計流程分述

(1)系統(tǒng)規(guī)格定義

這個階段系統(tǒng)工程師把整個系統(tǒng)和其子系統(tǒng)看成是一個個只有輸入輸出關(guān)系的/黑盒子,不僅要對其中每一個進行功能定義,而且還要提出時序、功耗、面積、信噪比等性能參數(shù)的范圍要求。

(2)電路設(shè)計

根據(jù)設(shè)計要求,首先要選擇合適的工藝制程;然后合理的構(gòu)架系統(tǒng),例如并行的還是串行的,差分的還是單端的;依照架構(gòu)來決定元件的組合,例如,電流鏡類型還是補償類型;根據(jù)交、直流參數(shù)決定晶體管工作偏置點和晶體管大?。灰拉h(huán)境估計負載形態(tài)和負載值。由于模擬集成電路的復(fù)雜性和變化的多樣性,目前還沒有EDA廠商能夠提供完全解決模擬集成電路設(shè)計自動化的工具,此環(huán)節(jié)基本上通過手工計算來完成的。

(3)電路模擬

設(shè)計工程師必須確認設(shè)計是正確的,為此要基于晶體管模型,借助EDA工具進行電路性能的評估,分析。在這個階段要依據(jù)電路仿真結(jié)果來修改晶體管參數(shù);依制程參數(shù)的變異來確定電路工作的區(qū)間和限制;驗證環(huán)境因素的變化對電路性能的影響;最后還要通過仿真結(jié)果指導(dǎo)下一步的版圖實現(xiàn),例如,版圖對稱性要求,電源線的寬度。

(4)版圖實現(xiàn)

電路的設(shè)計及模擬決定電路的組成及相關(guān)參數(shù),但并不能直接送往晶圓代工廠進行制作。設(shè)計工程師需提供集成電路的物理幾何描述稱為版圖。這個環(huán)節(jié)就是要把設(shè)計的電路轉(zhuǎn)換為圖形描述格式。模擬集成電路通常是以全定制方法進行手工的版圖設(shè)計。在設(shè)計過程中需要考慮設(shè)計規(guī)則、匹配性、噪聲、串擾、寄生效應(yīng)、防門鎖等對電路性能和可制造性的影響。雖然現(xiàn)在出現(xiàn)了許多高級的全定制輔助設(shè)計方法,仍然無法保證手工設(shè)計對版圖布局和各種效應(yīng)的考慮全面性。

(5)物理驗證

版圖的設(shè)計是否滿足晶圓代工廠的制造可靠性需求?從電路轉(zhuǎn)換到版圖是否引入了新的錯誤?物理驗證階段將通過設(shè)計規(guī)則檢查(DRC,Design Rule Cheek)和版圖網(wǎng)表與電路原理圖的比對(VLS,Layout Versus schematic)解決上述的兩類驗證問題。幾何規(guī)則檢查用于保證版圖在工藝上的可實現(xiàn)性。它以給定的設(shè)計規(guī)則為標準,對最小線寬、最小圖形間距、孔尺寸、柵和源漏區(qū)的最小交疊面積等工藝限制進行檢查。版圖網(wǎng)表與電路原理圖的比對用來保證版圖的設(shè)計與其電路設(shè)計的匹配。VLS工具從版圖中提取包含電氣連接屬性和尺寸大小的電路網(wǎng)表,然后與原理圖得到的網(wǎng)表進行比較,檢查兩者是否一致。

參考文獻

第8篇:電路設(shè)計的基本方法范文

關(guān)鍵詞:電子設(shè)計自動化;課程特點;教學方法

作者簡介:董素鴿(1983-),女,河南葉縣人,鄭州大學西亞斯國際學院電子信息工程學院,助教;李華(1972-),男,河南鄭州人,鄭州大學西亞斯國際學院電子信息工程學院,助教。(河南鄭州451150)

中圖分類號:G642.41     文獻標識碼:A     文章編號:1007-0079(2012)11-0046-02

電子設(shè)計自動化(EDA:Electronic Design automation)是將計算機技術(shù)應(yīng)用于電子設(shè)計過程中而形成的一門新技術(shù),[1]它已經(jīng)被廣泛應(yīng)用于電子電路的設(shè)計和仿真、集成電路的版圖設(shè)計、印刷電路板(PCB)的設(shè)計和可編程器件的編程等各項工作中。

隨著半導(dǎo)體技術(shù)及電子信息工業(yè)的不斷發(fā)展,電子設(shè)計自動化技術(shù)在信息行業(yè)中的應(yīng)用范圍越來越廣泛,應(yīng)用領(lǐng)域也涉及產(chǎn)業(yè)鏈中的幾乎任何一個環(huán)節(jié)。一方面是社會上對電子設(shè)計自動化人才的急需,另一方面是我國高校中電子設(shè)計自動化人才培養(yǎng)的落后,兩者之間的矛盾也促使眾多的高校開始在電子信息、微電子技術(shù)等專業(yè)中開設(shè)“電子設(shè)計自動化”課程。如今,該課程已成為眾多信息類學科的專業(yè)必修課,這為我國電子設(shè)計自動化人才的培養(yǎng)和充實做出了巨大的貢獻。

“電子設(shè)計自動化”課程教學效果直接影響著人才培養(yǎng)的質(zhì)量,因此,優(yōu)秀的教學方法和教學質(zhì)量是教學過程中必須重視的。筆者根據(jù)近幾年的教學經(jīng)歷,總結(jié)經(jīng)驗,開拓創(chuàng)新,形成了一套特有的教學方法,旨在培養(yǎng)出基礎(chǔ)牢、思路清、知識廣、能力強的電子設(shè)計自動化人才。

一、“電子設(shè)計自動化”課程教學的特點

電子設(shè)計自動化是一個較為寬泛的概念,它涵蓋了電路設(shè)計、電路測試與驗證、版圖設(shè)計、PCB板開發(fā)等各個不同的應(yīng)用范圍。而當前“電子設(shè)計自動化”課程設(shè)置多數(shù)側(cè)重電路設(shè)計部分,即采用硬件描述語言設(shè)計數(shù)字電路。因此,該課程的教學具非常突出的特點。

1.既要有廣度,又要有深度

有廣度即在教學過程中需要把電子設(shè)計自動化所包含的各個不同的應(yīng)用環(huán)節(jié)都要讓學生了解,從而使學生從整個產(chǎn)業(yè)鏈的角度出發(fā),把握電子設(shè)計自動化的真正含義,以便于他們建立起一個全局概念。有深度即在教學過程中緊抓電路設(shè)計這個重點,著重講解如何使用硬件描述語言設(shè)計硬件電路,使學生具備電路設(shè)計的具體技能,并能夠應(yīng)用于實踐和工作當中。

2.突出硬件電路設(shè)計的概念

在眾多高校開設(shè)的“電子設(shè)計自動化”課程中,多數(shù)是以硬件描述語言VHDL作為學習重點的。而VHDL語言是一門比較特殊的語言,與C語言、匯編語言等存在很大的不同。因此,在教學過程中首先要讓學生明白這門語言與前期所學的其他語言的區(qū)別,并通過實例,如CPU的設(shè)計及制造過程,讓學生明白VHDL等硬件描述語言的真正用途,并將硬件電路設(shè)計的概念貫穿整個教學過程。

3.理論與實踐并重

“電子設(shè)計自動化”是一門理論性與實踐性都很強的課程,必須兩者并重,才能收到良好的教學效果。在理論學習中要突顯語法要點和電路設(shè)計思想,[2]并通過實踐將這些語法與設(shè)計思想得以加強和鞏固,同時在實踐中鍛煉學生的創(chuàng)新能力。

二、“電子設(shè)計自動化”課程教學方法總結(jié)

良好的教學方法能起到事半功倍的效果。因此,針對“電子設(shè)計自動化”課程的教學特點,筆者根據(jù)近幾年的教學經(jīng)驗總結(jié)了一些行之有效的教學方法。

1.以生動的形式帶領(lǐng)學生進入電子設(shè)計自動化的世界

電子設(shè)計自動化對學生來說是一個全新的概念。如何讓他們能夠快速地進入到這個世界中,并了解這個世界的大概,從而對這個領(lǐng)域產(chǎn)生興趣,是每個老師在這門課授課之前必須要做的一件事情。教師可以采用一些現(xiàn)代化的多媒體授課技術(shù),讓學生更直觀地了解電子設(shè)計自動化。由于電子設(shè)計自動化是一個很抽象的概念,因此,可以通過播放視頻、圖片等一些比較直觀的內(nèi)容來讓學生了解這個領(lǐng)域。從學生最熟悉的電腦CPU引入,通過一段“CPU從設(shè)計到制造過程”的視頻,讓學生了解集成電路設(shè)計與制造的流程與方法,并引出集成電路這個概念。

通過早期的集成電路與現(xiàn)在的集成電路的圖片對比,引出EDA的概念,并詳細講解EDA對于集成電路行業(yè)的發(fā)展所作的巨大貢獻。在教學過程中,通過向?qū)W生介紹一些使用EDA技術(shù)實現(xiàn)的當前比較主流的產(chǎn)品及其應(yīng)用,提高學生對EDA的具體認識。這些方法不僅使學生對EDA相關(guān)的產(chǎn)業(yè)有了相應(yīng)的了解,更激發(fā)了學生的學習興趣,使學生能夠踴躍地投入到“電子設(shè)計自動化”的學習中。

2.以實例展開理論教學

“電子設(shè)計自動化”的學習內(nèi)容包含三大部分:[3]硬件描述語言(以VHDL語言為學習對象)、開發(fā)軟件(以QUARTUS II為學習對象)和實驗用開發(fā)板(以FPGA開發(fā)板為學習對象)。

硬件描述語言的學習屬于理論學習部分,是重中之重。對于一門編程語言的學習來說,語法和編程思想是學習要點。在傳統(tǒng)的編程語言學習的過程中,通常都是將語法作為主線,結(jié)合語法實例逐漸形成編程思想。這種學習方法會使學生陷入到學編程語言就是學習語法的誤區(qū)中,不僅不能學到精髓,還會因為枯燥乏味而產(chǎn)生厭倦感。

如何能使學生既能掌握電路設(shè)計的方法,又輕松掌握語法規(guī)則是一個教學難題。筆者改變傳統(tǒng)觀念,將編程思想的學習作為教學主線,在理論學習過程中,以具體電路實例為基礎(chǔ),引導(dǎo)學生從分析電路的功能入手,熟悉將電路功能轉(zhuǎn)換為相應(yīng)的程序語句的過程,并掌握如何將這些語句按照規(guī)則組織成一個完整無誤的程序。在此過程中,不斷引入新的語法規(guī)則。由于整個過程中學生的思考重點都放在電路功能的實現(xiàn)上,而語法的學習就顯得不那么突兀,也不會產(chǎn)生厭倦感。由于語法時刻都需要用到且容易忘記,因此在后期的實例講解過程中需要不斷地鞏固之前所學過的語法現(xiàn)象,以避免學生遺忘,以此讓學生明白,學習編程語言的真正目的是為了應(yīng)用于電路設(shè)計。通過一些實踐,學生體會到語言學習的成就感,進一步提高了學習興趣,此方法收到了良好的教學效果。

3.將硬件電路設(shè)計的概念貫穿始終

硬件描述語言與軟件語言有本質(zhì)區(qū)別。很多學生由于不了解硬件描述語言的特點,在學習過程中很容易將之前所學的C語言等軟件編程語言的思維慣性的應(yīng)用于VHDL語言的學習過程中,這對于掌握硬件電路設(shè)計的實質(zhì)有非常大的阻礙。因此,在教學過程中,從最初引入到最后設(shè)計電路,都要始終將硬件電路設(shè)計的概念和思維方式貫穿其中。

在講述應(yīng)用實例時,需要向?qū)W生分析該例中的語句和硬件電路的關(guān)系,并強調(diào)這些語句與軟件語言的區(qū)別。以if語句為例,在VHDL語言中,if語句的不同應(yīng)用可以產(chǎn)生不同的電路結(jié)構(gòu)。完整的if語句產(chǎn)生純組合電路,不完整的if語句將產(chǎn)生時序電路,如果應(yīng)用不當,會在電路中引入不必要的存儲單元,增加電路模塊,耗費資源。[4]而對于軟件語言,并沒有完整if語句與不完整if語句之分。為了讓學生更深刻地理解不同的if語句對應(yīng)的硬件電路結(jié)構(gòu)特性,可以通過一個小實例綜合之后的電路結(jié)構(gòu)圖來說明。

如以下兩個程序:

(1)entity muxab is

port(a,b:in bit;

y:out bit);

end;

architecture behave of muxab is

begin

process(a,b)

begin

if a>b then y

elsif a

end if;

end process;

end;

(2)entity muxab is

port(a,b:in bit;

y:out bit);

end;

architecture behave of muxab is

begin

process(a,b)

begin

if a>b then y

else y

end if;

end process;

end;

(1)(2)兩個程序唯一的不同點在于:程序(1)中使用的是elsif語句,是一個不完整的if語句描述,而程序(2)使用的是else語句,是一個完整的if語句描述。這一條語句的區(qū)別卻決定了兩個程序的電路結(jié)構(gòu)有很大的不同。(1)綜合的結(jié)果是一個時序電路,電路結(jié)構(gòu)復(fù)雜,如圖1所示。而(2)綜合的結(jié)果是一個純組合電路,電路結(jié)構(gòu)非常簡單,如圖2所示。通過綜合后的電路圖比較,學生更深刻理解這兩類語句的區(qū)別。

強化硬件電路設(shè)計的思想,可以促使學生逐漸形成一種規(guī)范、高效、資源節(jié)約的設(shè)計風格,培養(yǎng)一個優(yōu)秀的硬件電路設(shè)計工程師。

4.通過實踐拓展強化學生動手能力

“電子設(shè)計自動化”是一門實用性很強的課程,學生在學完該課程后必須具備一定的硬件電路設(shè)計和調(diào)試的能力,因此在教學中需要不斷地用實踐訓(xùn)練來強化學生在課堂所學習的理論知識,并使他們達到能夠獨立設(shè)計較復(fù)雜硬件電路的能力。

筆者在教學過程中鼓勵學生將課程實踐和畢業(yè)設(shè)計內(nèi)容相結(jié)合的方法,讓學生強化實踐能力,收到了良好的效果。學習“電子設(shè)計自動化”課程的學生基本上都是即將進入大四,此時他們的畢業(yè)設(shè)計已經(jīng)開始進入選題,開始了初步設(shè)計的過程。筆者先在實驗課堂向?qū)W生布置一些常用硬件電路設(shè)計的題目,比如交通燈、自動售貨機、電梯控制器等,讓學生體會電子設(shè)計自動化課程的實用性,激發(fā)他們的思考和學習興趣。在此基礎(chǔ)上分組組建實踐小團隊,讓每組學生共同完成一個較復(fù)雜的電路系統(tǒng),比如遙控小車、溫度測控系統(tǒng)等,鼓勵他們將所做的內(nèi)容與畢業(yè)設(shè)計對接。其中大部分同學通過這些訓(xùn)練都可以掌握硬件電路設(shè)計的基本方法和流程,有一部分同學還能設(shè)計出比較出色的作品。此過程不僅讓學生體會到了學習知識的快樂,也培養(yǎng)了他們的團隊協(xié)作精神,為他們以后的繼續(xù)深造和工作做了鋪墊。

三、結(jié)束語

掌握“電子設(shè)計自動化”課程的特點,有針對性地改善教學方法,充分調(diào)動學生的學習積極性,強化理論和實踐教學相結(jié)合,一方面使學生把握課程的全局性,了解和熟悉電子設(shè)計自動化行業(yè)的狀況和最新動態(tài);另一方面培養(yǎng)學生具有扎實的理論基礎(chǔ)和良好的動手能力,培養(yǎng)出厚基礎(chǔ)、重實踐、有創(chuàng)新的高素質(zhì)人才,具有重要的社會意義。

參考文獻:

[1]潘松,黃繼業(yè).EDA技術(shù)與VHDL(第二版)[M].北京:清華大學出版社,2007.

[2]Roth,C.H.數(shù)字系統(tǒng)設(shè)計與VHDL[M].金明錄,劉倩,譯.北京:電子工業(yè)出版社,2008.

第9篇:電路設(shè)計的基本方法范文

計算機系統(tǒng)所要求解決的問題日趨復(fù)雜,與此同時,計算機系統(tǒng)本身的結(jié)構(gòu)也越來越復(fù)雜。而復(fù)雜性的提高就意味著可靠性的降低,實踐經(jīng)驗表明,要想使如此復(fù)雜的實時系統(tǒng)實現(xiàn)零出錯率幾乎是不可能的,因此人們寄希望于系統(tǒng)的容錯性能:即系統(tǒng)在出現(xiàn)錯誤的情況下的適應(yīng)能力。對于如何同時實現(xiàn)系統(tǒng)的復(fù)雜性和可靠性,大自然給了我們近乎完美的藍本。人體是迄今為止我們所知道的最復(fù)雜的生物系統(tǒng),通過千萬年基因進化,使得人體可以在某些細胞發(fā)生病變的情況下,不斷地進行自我診斷,并最終自愈。因此借用這一機理,科學家們研究出可進化硬件(EHW,EvolvableHardWare),理想的可進化硬件不但同樣具有自我診斷能力,能夠通過自我重構(gòu)消除錯誤,而且可以在設(shè)計要求或系統(tǒng)工作環(huán)境發(fā)生變化的情況下,通過自我重構(gòu)來使電路適應(yīng)這種變化而繼續(xù)正常工作。嚴格地說,EHW具有兩個方面的目的,一方面是把進化算法應(yīng)用于電子電路的設(shè)計中;另一方面是硬件具有通過動態(tài)地、自主地重構(gòu)自己實現(xiàn)在線適應(yīng)變化的能力。前者強調(diào)的是進化算法在電子設(shè)計中可替代傳統(tǒng)基于規(guī)范的設(shè)計方法;后者強調(diào)的是硬件的可適應(yīng)機理。當然二者的區(qū)別也是很模糊的。本文主要討論的是EHW在第一個方面的問題。

對EHW的研究主要采用了進化理論中的進化計算(EvolutionaryComputing)算法,特別是遺傳算法(GA)為設(shè)計算法,在數(shù)字電路中以現(xiàn)場可編程門陣列(FPGA)為媒介,在模擬電路設(shè)計中以現(xiàn)場可編程模擬陣列(FPAA)為媒介來進行的。此外還有建立在晶體管級的現(xiàn)場可編程晶體管陣列(FPTA),它為同時設(shè)計數(shù)字電路和和模擬電路提供了一個可靠的平臺。下面主要介紹一下遺傳算法和現(xiàn)場可編程門陣列的相關(guān)知識,并以數(shù)字電路為例介紹可進化硬件設(shè)計方法。

1.1遺傳算法

遺傳算法是模擬生物在自然環(huán)境中的遺傳和進化過程的一種自適應(yīng)全局優(yōu)化算法,它借鑒了物種進化的思想,將欲求解問題編碼,把可行解表示成字符串形式,稱為染色體或個體。先通過初始化隨機產(chǎn)生一群個體,稱為種群,它們都是假設(shè)解。然后把這些假設(shè)解置于問題的“環(huán)境”中,根據(jù)適應(yīng)值或某種競爭機制選擇個體(適應(yīng)值就是解的滿意程度),使用各種遺傳操作算子(包括選擇,變異,交叉等等)產(chǎn)生下一代(下一代可以完全替代原種群,即非重疊種群;也可以部分替代原種群中一些較差的個體,即重疊種群),如此進化下去,直到滿足期望的終止條件,得到問題的最優(yōu)解為止。

1.2現(xiàn)場可編程邏輯陣列(FPGA)

現(xiàn)場可編程邏輯陣列是一種基于查找表(LUT,LookupTable)結(jié)構(gòu)的可在線編程的邏輯電路。它由存放在片內(nèi)RAM中的程序來設(shè)置其工作狀態(tài),工作時需要對片內(nèi)的RAM進行編程。當用戶通過原理圖或硬件描述語言(HDL)描述了一個邏輯電路以后,F(xiàn)PGA開發(fā)軟件會把設(shè)計方案通過編譯形成數(shù)據(jù)流,并將數(shù)據(jù)流下載至RAM中。這些RAM中的數(shù)據(jù)流決定電路的邏輯關(guān)系。掉電后,F(xiàn)PGA恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此,F(xiàn)PGA能夠反復(fù)使用,灌入不同的數(shù)據(jù)流就會獲得不同的硬件系統(tǒng),這就是可編程特性。這一特性是實現(xiàn)EHW的重要特性。目前在可進化電子電路的設(shè)計中,用得最多得是Xilinx公司的Virtex系列FPGA芯片。

2進化電子電路設(shè)計架構(gòu)

本節(jié)以設(shè)計高容錯性的數(shù)字電路設(shè)計為例來闡述EHW的設(shè)計架構(gòu)及主要設(shè)計步驟。對于通過進化理論的遺傳算法來產(chǎn)生容錯性,所設(shè)計的電路系統(tǒng)可以看作一個具有持續(xù)性地、實時地適應(yīng)變化的硬件系統(tǒng)。對于電子電路來說,所謂的變化的來源很多,如硬件故障導(dǎo)致的錯誤,設(shè)計要求和規(guī)則的改變,環(huán)境的改變(各種干擾的出現(xiàn))等。

從進化論的角度來看,當這些變化發(fā)生時,個體的適應(yīng)度會作相應(yīng)的改變。當進化進行時,個體會適應(yīng)這些變化重新獲得高的適應(yīng)度?;谶M化論的電子電路設(shè)計就是利用這種原理,通過對設(shè)計結(jié)果進行多次地進化來提高其適應(yīng)變化的能力。

電子電路進化設(shè)計架構(gòu)如圖1所示。圖中給出了電子電路的設(shè)計的兩種進化,分別是內(nèi)部進化和外部進化。其中內(nèi)部進化是指硬件內(nèi)部結(jié)構(gòu)的進化,而外部進化是指軟件模擬的電路的進化。這兩種進化是相互獨立的,當然通過外部進化得到的最終設(shè)計結(jié)果還是要由硬件結(jié)構(gòu)的變化來實際體現(xiàn)。從圖中可以看出,進化過程是一個循環(huán)往復(fù)的過程,其中是根據(jù)進化算法(遺傳算法)的計算結(jié)果來進行的。整個進化設(shè)計包括以下步驟:

(1)根據(jù)設(shè)計的目的,產(chǎn)生初步的方案,并把初步方案用一組染色體(一組“0”和“1”表示的數(shù)據(jù)串)來表示,其中每個個體表示的是設(shè)計的一部分。染色體轉(zhuǎn)化成控制數(shù)據(jù)流下載到FPGA上,用來定義FPGA的開關(guān)狀態(tài),從而確定可重構(gòu)硬件內(nèi)部各單元的聯(lián)結(jié),形成了初步的硬件系統(tǒng)。用來設(shè)計進化硬件的FPGA器件可以接受任意組合的數(shù)據(jù)流下載,而不會導(dǎo)致器件的損害。

(2)將設(shè)計結(jié)果與目標要求進行比較,并用某種誤差表示作為描述系統(tǒng)適應(yīng)度的衡量準則。這需要一定的檢測手段和評估軟件的支持。對不同的個體,根據(jù)適應(yīng)度進行排序,下一代的個體將由最優(yōu)的個體來產(chǎn)生。

(3)根據(jù)適應(yīng)度再對新的個體組進行統(tǒng)計,并根據(jù)統(tǒng)計結(jié)果挑選一些個體。一

部分被選個體保持原樣,另一部分個體根據(jù)遺傳算法進行修改,如進行交叉和變異,而這種交叉和變異的目的是為了產(chǎn)生更具適應(yīng)性的下一代。把新一代染色體轉(zhuǎn)化成控制數(shù)據(jù)流下載到FPGA中對硬件進行進化。

(4)重復(fù)上述步驟,產(chǎn)生新的數(shù)代個體,直到新的個體表示的設(shè)計方案表現(xiàn)出接近要求的適應(yīng)能力為止。

一般來說通過遺傳算法最后會得到一個或數(shù)個設(shè)計結(jié)果,最后設(shè)計方案具有對設(shè)計要求和系統(tǒng)工作環(huán)境的最佳適應(yīng)性。這一過程又叫內(nèi)部進化或硬件進化。

圖中的右邊展示了另一種設(shè)計可進化電路的方法,即用模擬軟件來代替可重構(gòu)器件,染色體每一位確定的是軟件模擬電路的連接方式,而不是可重構(gòu)器件各單元的連接方式。這一方法叫外部進化或軟件進化。這種方法中進化過程完全模擬進行,只有最后的結(jié)果才在器件上實施。

進化電子電路設(shè)計中,最關(guān)鍵的是遺傳算法的應(yīng)用。在遺傳算法的應(yīng)用過程中,變異因子的確定是需要慎重考慮的,它的大小既關(guān)系到個體變異的程度,也關(guān)系到個體對環(huán)境變化做出反應(yīng)的能力,而這兩個因素相互抵觸。變異因子越大,個體更容易適應(yīng)環(huán)境變化,對系統(tǒng)出現(xiàn)的錯誤做出快速反應(yīng),但個體更容易發(fā)生突變。而變異因子較小時,系統(tǒng)的反應(yīng)力變差,但系統(tǒng)一旦獲得高適應(yīng)度的設(shè)計方案時可以保持穩(wěn)定。

對于可進化數(shù)字電路的設(shè)計,可以在兩個層面上進行。一個是在基本的“與”、“或”、“非”門的基礎(chǔ)上進行進化設(shè)計,一個是在功能塊如觸發(fā)器、加法器和多路選擇器的基礎(chǔ)上進行。前一種方法更為靈活,而后一種更適于工業(yè)應(yīng)用。有人提出了一種基于進化細胞機(CellularAutomaton)的神經(jīng)網(wǎng)絡(luò)模塊設(shè)計架構(gòu)。采用這一結(jié)構(gòu)設(shè)計時,只需要定義整個模塊的適應(yīng)度,而對于每一模塊如何實現(xiàn)它復(fù)雜的功能可以不予理睬,對于超大規(guī)模線路的設(shè)計可以采用這一方法來將電路進行整體優(yōu)化設(shè)計。

3可進化電路設(shè)計環(huán)境

上面描述的軟硬件進化電子電路設(shè)計可在圖2所示的設(shè)計系統(tǒng)環(huán)境下進行。這一設(shè)計系統(tǒng)環(huán)境對于測試可重構(gòu)硬件的構(gòu)架及展示在FPGA可重構(gòu)硬件上的進化設(shè)計很有用處。該設(shè)計系統(tǒng)環(huán)境包括遺傳算法軟件包、FPGA開發(fā)系統(tǒng)板、數(shù)據(jù)采集軟硬件、適應(yīng)度評估軟件、用戶接口程序及電路模擬仿真軟件。

遺傳算法由計算機上運行的一個程序包實現(xiàn)。由它來實現(xiàn)進化計算并產(chǎn)生染色體組。表示硬件描述的染色體通過通信電纜由計算機下載到有FPGA器件的實驗板上。然后通過接口將布線結(jié)果傳回計算機。適應(yīng)度評估建立在儀器數(shù)據(jù)采集硬件及軟件上,一個接口碼將GA與硬件連接起來,可能的設(shè)計方案在此得到評估。同時還有一個圖形用戶接口以便于設(shè)計結(jié)果的可視化和將問題形式化。通過執(zhí)行遺傳算法在每一代染色體組都會產(chǎn)生新的染色體群組,并被轉(zhuǎn)化為數(shù)據(jù)流傳入實驗板上。至于通過軟件進化的電子電路設(shè)計,可采用Spice軟件作為線路模擬仿真軟件,把染色體變成模擬電路并通過仿真軟件來仿真電路的運行情況,通過相應(yīng)軟件來評估設(shè)計結(jié)果。

4結(jié)論與展望

進化過程廣義上可以看作是一個復(fù)雜的動態(tài)系統(tǒng)的狀態(tài)變化。在這個意義上,可以將“可進化”這一特性運用到無數(shù)的人工系統(tǒng)中,只要這些系統(tǒng)的性能會受到環(huán)境的影響。不僅是遺傳算法,神經(jīng)網(wǎng)絡(luò)、人工智能工程以及胚胎學都可以應(yīng)用到可進化系統(tǒng)中。雖然目前設(shè)計出的可進化硬件還存在著許多需要解決的問題,如系統(tǒng)的魯棒性等。但在未來的發(fā)展中,電子電路可進化的設(shè)計方法將不可避免的取代傳統(tǒng)的自頂向下設(shè)計方法,系統(tǒng)的復(fù)雜性將不再成為系統(tǒng)設(shè)計的障礙。另一方面,硬件本身的自我重構(gòu)能力對于那些在復(fù)雜多變的環(huán)境,特別是人不能直接參與的環(huán)境工作的系統(tǒng)來說將帶來極大的影響。因此可進化硬件的研究將會進一步深入并會得到廣泛的應(yīng)用而造福人類。