公務員期刊網(wǎng) 精選范文 集成電路設計與仿真范文

集成電路設計與仿真精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的集成電路設計與仿真主題范文,僅供參考,歡迎閱讀并收藏。

集成電路設計與仿真

第1篇:集成電路設計與仿真范文

>> “射頻集成電路設計”課程教學改革初探 應用于相控陣收發(fā)組件的射頻微波集成電路設計探討 納米尺度互連線寄生參數(shù)的仿真及應用于CMOS射頻集成電路設計 模擬集成電路設計教學探討 《集成電路設計》課程教學改革與探索 集成電路設計本科教學改革探索 集成電路設計與集成系統(tǒng)專業(yè)人才培養(yǎng)模式的探究 集成電路設計與集成系統(tǒng)專業(yè)CDIO培養(yǎng)模式的研究與實踐 集成電路設計專業(yè)課程體系改革與實踐 《數(shù)字集成電路設計原理》課程教學探索 集成電路設計作為專業(yè)核心課程設置的探討 集成電路設計方法及IP設計技術的探討 集成電路設計的本科教學現(xiàn)狀及探索 模擬集成電路設計教學方法探討 《專用集成電路設計》教學方法初探 結合集成電路設計大賽談創(chuàng)新能力的培養(yǎng) 同步數(shù)字集成電路設計中的時鐘偏移分析 《2012中國集成電路設計業(yè)發(fā)展報告》的統(tǒng)計及結論 模擬集成電路設計的自動化綜合流程研究 以工程需求為導向的集成電路設計閉環(huán)教育研究 常見問題解答 當前所在位置:l.

[3]http://.cn/Info/html/n14730_1.htm.

[4]http:///info/20121026/227691.shtml.

[5]馮衛(wèi)東.美科學家證實電路世界第四種基本元件存在[N/OL].科技日報,2008-05-06.

[6]李九生.“微波與射頻技術”課程新式教學理念應用[J].科技信息,2010,(6).

[7]李金鳳,王健,劉歡.“射頻集成電路設計”課程教學改革初探[J].考試周刊,2012,(15).

[8]張銀蒲.基于射頻方向課程群的教學改革與創(chuàng)新[J].唐山學院學報,2013,(1).

[9]王立華.虛擬網(wǎng)絡分析儀在射頻電路設計中的應用[J].電子測量技術,2012,(4).

收稿日期:2013-09-10

第2篇:集成電路設計與仿真范文

關鍵詞:電子科學與技術;集成電路設計;平臺建設;IC產(chǎn)業(yè)

中圖分類號:G642 文獻標志碼:A 文章編號:1674-9324(2014)08-0270-03

國家教育部于2007年正式啟動了高等學校本科教學質(zhì)量與教學改革工程(簡稱“質(zhì)量工程”),其建設的重要內(nèi)容之一就是使高校培養(yǎng)的理工科學生具有較強的實踐動手能力,更好地適應社會和市場的需求[1]。為此,我校作為全國獨立學院理事單位于2007年6月通過了ISO2000:9001質(zhì)量管理體系認證[2],同時確立了“質(zhì)量立校、人才強校、文化興?!比蠛诵膽?zhàn)略,深入推進內(nèi)涵式發(fā)展,全面提高人才培養(yǎng)質(zhì)量。對于質(zhì)量工程采取了多方面多角度的措施:加強教學改革項目工程;鼓勵參加校內(nèi)學生創(chuàng)新項目立項,(大學生創(chuàng)新基金項目);積極參加國家、省級等電子設計大賽;有針對性地對人才培養(yǎng)方案進行大幅度的調(diào)整,增大課程實驗學時,實驗學時占課程的比例從原來的15%提高到25%以上,并且對實驗項目作了改進,提高綜合性和設計性實驗的比重;同時增加專業(yè)實踐課程,強調(diào)學生的應用能力和創(chuàng)新能力;課程和畢業(yè)設計更注重選題來源,題目比以前具有更強的針對性,面向?qū)I(yè),面向本地就業(yè)市場。不僅如此,學院還建立了創(chuàng)業(yè)孵化中心、建立了實驗中心等。通過這些有效的措施,努力提高學生的綜合素質(zhì)、創(chuàng)新和應用能力。除了學校對電子信息類專業(yè)整體進行統(tǒng)籌規(guī)劃和建設外,各個二級學院都以“質(zhì)量工程”建設為出發(fā)點和立足點,從專業(yè)工程的角度出發(fā),努力探索各個專業(yè)新的發(fā)展思路和方向。由于集成電路設計是高校電子科學與技術、微電子學等相關專業(yè)的主要方向,因此與之相關的課程和平臺建設成為該專業(yè)工程探索的重點。通過對當前國內(nèi)外高校該專業(yè)方向培養(yǎng)方案分析,設置的課程主要強調(diào)模擬/數(shù)字電路方向,相應的課程體系為此服務,人才培養(yǎng)方案設置與之相對應的理論和實踐教學體系;同時建立相應的實習、實踐教學平臺。由此,依據(jù)電子科學與技術專業(yè)的特點,結合本專業(yè)學生的層次和專業(yè)面向,同時依據(jù)本地的人才需求深度和廣度,對以往的人才培養(yǎng)方案進行革新,建立面向中山IC產(chǎn)業(yè)的集成電路設計專業(yè)應用型的設計平臺。另外,從課程體系出發(fā),強化IC設計的模擬集成電路后端版圖設計和驗證,使學生在實踐教學環(huán)節(jié)中得到實際的訓練。通過這些改革既可有效地幫助學生迅速融入IC設計業(yè),也為進入IC制造行業(yè)提高層次到新高度。

一、軟件設計平臺在集成電路設計業(yè)的重要性

自從1998年高等學校擴大招生以來,高校規(guī)模發(fā)展很快,在校大學生的人數(shù)比十五年前增長了10倍。高校的基礎設施和設備的投入呈現(xiàn)不斷增長的趨勢,學校的辦學條件不斷改善,同時,各個高校對實驗室的建設也在持續(xù)增大,然而在實驗室建設的過程中,盡管投入的資金量在不斷增大,但出現(xiàn)的現(xiàn)象是重視專業(yè)儀器和設備的投入,忽視專業(yè)設計軟件的購置,這可能是由于長期以來形成的重有形實體、輕無形設計軟件,然而這種意識給專業(yè)發(fā)展必將帶來不利影響。對于IC專業(yè)來說,該專業(yè)主要面向集成電路的生產(chǎn)、測試和設計,其中集成電路設計業(yè)是最具活力、最有增長效率的一塊,即使是在國際金融危機的2009年,中國的IC設計業(yè)不僅沒有像半導體行業(yè)那樣同比下降10%,反而逆勢增長9.1%;在2010年,國際金融危機剛剛緩和,中國IC設計業(yè)的同比增速又快速攀升到45%;2011年全行業(yè)銷售額為624.37億元,2012年比2012年增長8.98%達到680.45億元,集成電路行業(yè)不僅增長速度快,發(fā)展前景好,而且可以滿足更多的高校學生就業(yè)和創(chuàng)業(yè)。為了滿足IC設計行業(yè)的要求,必須建設該行業(yè)需求的集成電路軟件設計平臺。眾所周知集成電路行業(yè)制造成本相對較高,這就要求設計人員在設計電路產(chǎn)品時盡量做到一次流片成功,而要實現(xiàn)這種目標需要建設電路設計驗證的平臺,即集成電路設計專業(yè)軟件設計平臺。通過軟件平臺可以實現(xiàn):電路原理拓撲圖的構建及參數(shù)仿真和優(yōu)化、針對具體集成電路工藝尺寸生產(chǎn)線的版圖設計和驗證、對版圖設計的實際性能進行仿真并與電路原理圖仿真對照、提供給制造廠商具體的GDSII版圖文件。軟件平臺實際上已經(jīng)達到驗證的目的,因此,對于集成電路設計專業(yè)的學生或工作人員來說,軟件設計平臺的建設特別重要,如果沒有軟件設計平臺也就無法培養(yǎng)出真正的IC設計人才。因此,在培養(yǎng)具有專業(yè)特色的應用型人才的號召下,學院不斷加大實驗室建設[3],從電子科學與技術專業(yè)角度出發(fā),建設IC軟件設計平臺,為本地區(qū)域發(fā)展和行業(yè)發(fā)展服務。

二、建設面向中山本地市場IC應用平臺

近年來,學校從自身建設的實際情況出發(fā),減少因?qū)嶒灲?jīng)費緊張帶來的困境,積極推動學院集成電路設計專業(yè)方向的人才培養(yǎng)。教學單位根據(jù)集成電路設計的模塊特點確定合適的軟件設計平臺,原理拓撲圖的前端電路仿真采用PSPICE軟件工具,熟悉電路仿真優(yōu)化過程;后端采用L-EDIT版圖軟件工具,應用實際生產(chǎn)廠家的雙極或CMOS工藝線來設計電路的版圖,并進行版圖驗證。這種處理方法雖然暫時性解決前端和后端電路及版圖仿真的問題,但與真正的系統(tǒng)設計集成電路相對出入較大,不利于形成IC的系統(tǒng)設計能力。2010年12月國家集成電路設計深圳產(chǎn)業(yè)化基地中山園區(qū)成立,該園區(qū)對集成電路設計人才的要求變得非常迫切,客觀上推進了學院對IC產(chǎn)業(yè)的人才培養(yǎng)力度,建立面向中山IC產(chǎn)業(yè)的專業(yè)應用型設計平臺變得刻不容緩[4],同時,新的人才培養(yǎng)方案也應聲出臺,促進了具有一定深度的教學改革。

1.軟件平臺建設。從目前集成電路設計軟件使用的廣泛性和系統(tǒng)性來看,建設面向市場的應用平臺,應該是學校所使用的與實際設計公司或其他單位的軟件一致,使得所培養(yǎng)的IC設計人才能與將來的就業(yè)工作實現(xiàn)無縫對接,從而提高市場對所培養(yǎng)的集成電路設計人才的認可度,同時也可大大提高學生對專業(yè)設計的能力和信心[5]。遵循這個原則,選擇Cadence軟件作為建設平臺設計軟件,這不僅因為該公司是全球最大的電子設計技術、程序方案服務和設計服務供應商,EDA軟件產(chǎn)品涵蓋了電子設計的整個流程,包括系統(tǒng)級設計,功能驗證,IC綜合及布局布線,模擬、混合信號及射頻IC設計,全定制集成電路設計,IC物理驗證,PCB設計和硬件仿真建模,而且通過大學計劃合作,可以大幅度的降低購置軟件所需資金,從而從根本上解決學校實驗室建設軟件費用昂貴的問題。另外,從中山乃至珠三角其他城市的IC行業(yè)中,各個單位都普遍采用該系統(tǒng)設計軟件,而且選用該軟件更有利于剛剛起步的中山集成電路設計,也更加有利于該產(chǎn)業(yè)的標準化和專業(yè)化,乃至進一步的發(fā)展和壯大。

2.針對中山IC產(chǎn)業(yè)設計。定位于面向本地產(chǎn)業(yè)的IC應用型人才,就必須以中山IC產(chǎn)業(yè)為培養(yǎng)特色人才的出發(fā)點。中山目前有一批集成電路代工生產(chǎn)和設計的公司,主要有中山市奧泰普微電子有限公司、芯成微電子公司、深電微電子科技有限公司、木林森股份有限公司等,能進行IC設計、工藝制造和測試封裝,主要生產(chǎn)功率半導體器件和IC、應用于家電等消費電子、節(jié)能照明等。日前奧泰普公司的0.35微米先進工藝生產(chǎn)線預計快速投產(chǎn),該單位的發(fā)展對本地IC人才需求有極大的推動力,推動學生學習微電子專業(yè)的積極性,而這些也有力地支持本地IC企業(yè)的長遠發(fā)展。因此,建立面向本地集成電路產(chǎn)業(yè)的軟件設計平臺,有利于專業(yè)人才的培養(yǎng)、準確定位,并形成了本地優(yōu)勢和特色。

3.教學實踐改革。為了提高人才培養(yǎng)質(zhì)量,形成專業(yè)特色,必須對人才培養(yǎng)方案進行修改。在人才培養(yǎng)方案中通過增加實踐教學環(huán)節(jié)的比例,實驗項目中除了原有驗證性的實驗外、還增加了綜合性或設計性的實驗,這種變化將有助于學生從被動實驗學習到主動實驗的綜合和設計,提高學生對知識的靈活運用和動手能力,從而為培養(yǎng)應用型的人才打下良好的基礎。除此之外,與集成電路代工企業(yè)及芯片應用公司建立合作關系。學生在學習期間到這些單位進行在崗實習和培訓,可以將所學的專業(yè)理論知識應用于實際生產(chǎn)當中去,形成無縫對接;而從單位招聘人才角度上來說,可以節(jié)約人力資源培訓成本,招到單位真正需要的崗位人才。因此,合作雙方在找到相互需求的基礎上,形成有效的合作機制。①課程改革。針對獨立學院培養(yǎng)應用型人才的特點,除了培養(yǎng)方案上增加多元化教育課程之外,主要是強調(diào)實踐教學的改革,增加綜合實驗課程,如:《現(xiàn)代電子技術綜合設計》計32學時、《微電子學綜合實驗》計40學時、《EDA綜合實驗》為32學時、《集成電路設計實驗》為40學時,其相應的課程學時數(shù)從以驗證性實驗為主的16個學時,增加到現(xiàn)在32學時以上的帶有綜合性或設計性實驗的綜合實踐課程。這種變化不僅是實踐教學環(huán)節(jié)的課時加大,而且是實驗項目的改進,也是實踐綜合能力的增強,有利于學生形成專業(yè)應用能力。②與單位聯(lián)合的IC設計基地。IC設計基地主要立足于兩個方面:一是立足于本地IC企業(yè)或設計公司;二是立足于IC代工和集成電路設計應用。前者主要利用本地資源就近的優(yōu)勢,學生參觀、實習都比較方便,同時也有利于學校與用人單位之間的良好溝通,提高雙方的認可度和贊同感。如:中山市奧泰普微電子有限公司、木林森股份有限公司等。后者從生產(chǎn)角度和設計應用出發(fā),帶領學生到IC代工企業(yè)參觀,初步了解集成電路的生產(chǎn)過程,企業(yè)的架構、規(guī)劃和發(fā)展遠景。也可根據(jù)公司的人才需要,選派部分學生到公司在崗實習[6]。如:深圳方正微電子有限公司、廣州南科集成電子有限公司等。通過這些方式不僅可以增強學生對專業(yè)知識的應用能力,而且有利于學生對IC單位的深入了解,為本校專業(yè)應用型人才找到一種行之有效的就業(yè)之路。

三、集成電路設計平臺的實效性

從2002年創(chuàng)辦電子科學與技術專業(yè)以來,學校特別重視集成電路相關的實驗室建設。從初期的晶體管器件和集成塊性能測量,硅片的少子壽命、C-V特性、方阻等測量,發(fā)展到探針臺的芯片級的性能測試,在此期間為了滿足更多的學生實驗、興趣小組和畢業(yè)設計的要求,微電子實驗室的已經(jīng)過三次擴張和升級,其建設規(guī)模和實驗水平得到了大幅度的提升。另外,為培養(yǎng)本科學生集成電路的設計能力,提高應用性能力,學校還建立了集成電路CAD實驗室,以電路原理圖仿真設計為重點,著重應用L-Edit版圖軟件工具,進行基本的集成電路版圖設計及驗證,對提升學生集成電路設計應用能力取得了一定的效果。目前,為了大力提高本科教學質(zhì)量,提升辦學水平,重點對實踐課程和IC軟件設計平臺進行了改革。學校開設了專門實踐訓練課程,如:集成電路設計實驗。從以前的16學時課內(nèi)驗證設計實驗提升為32學時獨立的集成電路設計實驗實踐課程,內(nèi)容從以驗證為主的實驗轉(zhuǎn)變?yōu)橐栽O計和綜合為主的實驗,整體應用設計水平進行了大幅度的提升,有利于培養(yǎng)學生的應用和動手能力。不僅如此,對集成電路的設計軟件也進行了升級,從最初的用Pspice和Hspice軟件進行電路圖仿真,L-Edit軟件工具的后端版圖設計,升級為應用系統(tǒng)的專業(yè)軟件平臺設計工具Cadence進行前后端的設計仿真驗證等,并采用開放實驗室模式,使得學生的系統(tǒng)設計能力得到一定程度的提升,提高了系統(tǒng)認識和項目設計能力。通過IC系統(tǒng)設計軟件平臺的建設和實踐教學課程改革,使得學生對電子科學與技術專業(yè)的性質(zhì)和內(nèi)容了解更加全面,對專業(yè)知識學習的深度和廣度也得到進一步提高,從而增強了專業(yè)學習的興趣,提高了自信心。此外,其他專業(yè)的學生也開始轉(zhuǎn)到本專業(yè),從事集成電路設計學習,并對集成電路流片產(chǎn)生濃厚的興趣。除此之外,學生利用自己在外實踐實習的機會給學校引進研究性的開發(fā)項目,這些都為本專業(yè)的發(fā)展形成很好的良性循環(huán)。在IC設計平臺的影響下,本專業(yè)繼續(xù)報考碩士研究生的學生特別多,約占學生比例的45%左右。經(jīng)過這幾年的努力,2003、2004、2005、2006級都有學生在碩士畢業(yè)后分別被保送或考上電子科技大學、華南理工大學、復旦大學、香港城市大學的博士。從這些學生的反饋意見了解到,他們對學校在IC設計平臺建設評價很高,對他們進一步深造起到了很好的幫助作用。不僅如此,已經(jīng)畢業(yè)在本行業(yè)工作的學生也對IC設計平臺有很好的評價:通過該軟件設計平臺不僅熟悉了集成電路設計的工藝庫、集成電路工藝流程和相應的工藝參數(shù),而且也熟悉版圖的設計,這對于從事IC代工工作起到很好的幫助作用?,F(xiàn)在已經(jīng)有多屆畢業(yè)的學生在深圳方正微電子公司、中山奧泰普微電子有限公司工作。另外,還有許多學生從事集成電路應用設計工作,主要分布于中山LED照明產(chǎn)業(yè)等。

通過IC軟件設計平臺建設,配合以實踐教學改革,使得學生所學理論知識和實際能力直接與市場實現(xiàn)無縫對接,培養(yǎng)了學生的創(chuàng)新意識和實踐動手能力,增強了學生的自信心。另外,利用與企業(yè)合作的生產(chǎn)實習,可以使得學生得到更好的工作鍛煉,為將來的工作打下良好的基礎。實踐證明,建設面向中山IC產(chǎn)業(yè)的集成電路設計實踐教學平臺,尋求高校與公司更緊密的新的合作模式,符合我校人才培養(yǎng)發(fā)展模式方向,對IC設計專業(yè)教學改革,培養(yǎng)滿足本地區(qū)乃至整個社會的高素質(zhì)應用型人才,具有特別重要的作用。

參考文獻:

[1]許曉琳,易茂祥,王墨林.適應“質(zhì)量工程”的IC設計實踐教學平臺建設[J].合肥工業(yè)大學學報(社會科學版),2011,25(4):[129-132.

[2]胡志武,金永興,陳偉平,等.上海海事大學質(zhì)量管理體系運行的回顧與思考[J].航海教育研究,2009,(1):16-20.

[3]毛建波,易茂祥.微電子學專業(yè)實驗室建設的探索與實踐[J].實驗室研究與探索,2005,24(12):118-126.

[4]鞠晨鳴,徐建成.“未來工程師”能力的集中培養(yǎng)大平臺建設[J].實驗室研究與探索,2010,29(4):158-161.

[5]袁穎,董利民,張萬榮.微電子技術實驗教學平臺的構建[J].電氣電子教學學報,2009,(31):115-117.

[6]王瑛.中低技術產(chǎn)業(yè)集群中企業(yè)產(chǎn)學研合作行為研究[J].中國科技論壇,2011,(9):56-61.

第3篇:集成電路設計與仿真范文

集成電路作為關系國民經(jīng)濟和社會發(fā)展全局的基礎性和先導性產(chǎn)業(yè),是現(xiàn)代電子信息科技的核心技術,是國家綜合實力的重要標志。鑒于我國集成電路市場持續(xù)快速的增長,對集成電路設計領域的人員需求也日益增加。集成電路是知識密集型的高技術產(chǎn)業(yè),但人才缺失的問題是影響集成電路產(chǎn)業(yè)發(fā)展的主要問題之一。據(jù)統(tǒng)計,2012年我國對集成電路設計人才的需求是30萬人 [1-2]。為加大集成電路專業(yè)人才的培養(yǎng)力度,更好地滿足集成電路產(chǎn)業(yè)的人才需求,2003年教育部實施了“國家集成電路人才培養(yǎng)基地”計劃,同時增設了“集成電路設計和集成系統(tǒng)”的本科專業(yè),很多高校都相繼開設了相關專業(yè),大力培養(yǎng)集成電路領域高水平的骨干專業(yè)技術人才[3]。

黑龍江大學的集成電路設計與集成系統(tǒng)專業(yè)自2005年成立以來,從本科教學體系的建立、本科教學內(nèi)容的制定與實施、師資力量的培養(yǎng)與發(fā)展等方面進行不斷的探索與完善。本文將結合多年集成電路設計與集成系統(tǒng)專業(yè)的本科教學實踐經(jīng)驗,以及對相關院校集成電路設計專業(yè)本科教學的多方面調(diào)研,針對黑龍江大學該專業(yè)的本科教學現(xiàn)狀進行分析和研究探索,以期提高本科教學水平,切實做好本科專業(yè)人才的培養(yǎng)工作。

一、完善課程設置

合理設置課程體系和課程內(nèi)容,是提高人才培養(yǎng)水平的關鍵。2009年,黑龍江大學集成電路設計與集成系統(tǒng)專業(yè)制定了該專業(yè)的課程體系,經(jīng)過這幾年教學工作的開展與施行,發(fā)現(xiàn)仍存在一些不足之處,于是在2014年黑龍江大學開展的教學計劃及人才培養(yǎng)方案的修訂工作中進行了再次的改進和完善。

首先,在課程設置與課時安排上進行適當?shù)恼{(diào)整。對于部分課程調(diào)整其所開設的學期及課時安排,不同課程中內(nèi)容重疊的章節(jié)或相關性較大的部分可進行適當刪減或融合。如:在原來的課程設置中,“數(shù)字集成電路設計”課程與“CMOS模擬集成電路設計”課程分別設置在教學第六學期和第七學期。由于“數(shù)字集成電路設計”課程中是以門級電路設計為基礎,所以學生在未進行模擬集成電路課程的講授前,對于各種元器件的基本結構、特性、工作原理、基本參數(shù)、工藝和版圖等這些基礎知識都是一知半解,因此對門級電路的整體設計分析難以理解和掌握,會影響學生的學習熱情及教學效果;而若在“數(shù)字集成電路設計”課程中添加入相關知識,與“CMOS模擬集成電路設計”課程中本應有的器件、工藝和版圖的相關內(nèi)容又會出現(xiàn)重疊。在調(diào)整后的課程設置中,先開設了“CMOS模擬集成電路設計”課程,將器件、工藝和版圖的基礎知識首先進行講授,令學生對于各器件在電路中所起的作用及特性能夠熟悉了解;在隨后“數(shù)字集成電路設計”課程的學習中,對于應用各器件進行電路構建時會更加得心應手,達到較好的教學效果,同時也避免了內(nèi)容重復講授的問題。此外,這樣的課程設置安排,將有利于本科生在“大學生集成電路設計大賽”的參與和競爭,避免因?qū)W期課程的設置問題,導致學生還未深入地接觸學習相關的理論課程及實驗課程,從而出現(xiàn)理論知識儲備不足、實踐操作不熟練等種種情況,致使影響到參賽過程的發(fā)揮。調(diào)整課程安排后,本科生通過秋季學期中基礎理論知識的學習以及實踐操作能力的鍛煉,在參與春季大賽時能夠確保擁有足夠的理論知識和實踐經(jīng)驗,具有較充足的參賽準備,通過團隊合作較好地完成大賽的各項環(huán)節(jié),贏取良好賽果,為學校、學院及個人爭得榮譽,收獲寶貴的參賽經(jīng)驗。

其次,適當降低理論課難度,將教學重點放在掌握集成電路設計及分析方法上,而不是讓復雜煩瑣的公式推導削弱了學生的學習興趣,讓學生能夠較好地理解和掌握集成電路設計的方法和流程。

第三,在選擇優(yōu)秀國內(nèi)外教材進行教學的同時,從科研前沿、新興產(chǎn)品及技術、行業(yè)需求等方面提取教學內(nèi)容,激發(fā)學生的學習興趣,實時了解前沿動態(tài),使學生能夠積極主動地學習。

二、變革教學理念與模式

CDIO(構思、設計、實施、運行)理念,是目前國內(nèi)外各高校開始提出的新型教育理念,將工程創(chuàng)新教育結合課程教學模式,旨在緩解高校人才培養(yǎng)模式與企業(yè)人才需求的沖突[4]。

在實際教學過程中,結合黑龍江大學集成電路設計與集成系統(tǒng)專業(yè)的“數(shù)?;旌霞呻娐吩O計”課程,基于“逐次逼近型模數(shù)轉(zhuǎn)換器(SAR ADC)”的課題項目開展教學內(nèi)容,將各個獨立分散的模擬或數(shù)字電路模塊的設計進行有機串聯(lián),使之成為具有連貫性的課題實踐內(nèi)容。在教學周期內(nèi),以學生為主體、教師為引導的教學模式,令學生“做中學”,讓學生有目的地將理論切實應用于實踐中,完成“構思、設計、實踐和驗證”的整體流程,使學生系統(tǒng)地掌握集成電路全定制方案的具體實施方法及設計操作流程。同時,通過以小組為單位,進行團隊合作,在組內(nèi)或組間的相互交流與學習中,相互促進提高,培養(yǎng)學生善于思考、發(fā)現(xiàn)問題及解決問題的能力,鍛煉學生團隊工作的能力及創(chuàng)新能力,并可以通過對新結構、新想法進行不同程度獎勵加分的形式以激發(fā)學生的積極性和創(chuàng)新力。此外,該門課程的考核形式也不同,不是通過以往的試卷筆試形式來確定學生得分,而是以畢業(yè)論文的撰寫要求,令每一組提供一份完整翔實的數(shù)據(jù)報告,鍛煉學生撰寫論文、數(shù)據(jù)整理的能力,為接下來學期中的畢業(yè)設計打下一定的基礎。而對于教師的要求,不僅要有扎實的理論基礎還應具備豐富的實踐經(jīng)驗,因此青年教師要不斷提高專業(yè)能力和素質(zhì)??赏ㄟ^參加研討會、專業(yè)講座、企業(yè)實習、項目合作等途徑分享和學習實踐經(jīng)驗,同時還應定期邀請校外專家或?qū)I(yè)工程師進行集成電路方面的專業(yè)座談、學術交流、技術培訓等,進行教學及實踐的指導。

三、加強EDA實踐教學

首先,根據(jù)企業(yè)的技術需求,引進目前使用的主流EDA工具軟件,讓學生在就業(yè)前就可以熟練掌握應用,將工程實際和實驗教學緊密聯(lián)系,積累經(jīng)驗的同時增加學生就業(yè)及繼續(xù)深造的機會,為今后競爭打下良好的基礎。2009―2015年,黑龍江大學先后引進數(shù)字集成電路設計平臺Xilinx和FPGA實驗箱、華大九天開發(fā)的全定制集成電路EDA設計工具Aether以及Synopsys公司的EDA設計工具等,最大可能地滿足在校本科生和研究生的學習和科研。而面對目前學生人數(shù)眾多但實驗教學資源相對不足的情況,如果可以借助黑龍江大學的校園網(wǎng)進行網(wǎng)絡集成電路設計平臺的搭建,實現(xiàn)遠程登錄,則在一定程度上可以滿足學生在課后進行自主學習的需要[5]。

其次,根據(jù)企業(yè)崗位的需求可合理安排EDA實踐教學內(nèi)容,適當增加實踐課程的學時。如通過運算放大器、差分放大器、采樣電路、比較器電路、DAC、邏輯門電路、有限狀態(tài)機、分頻器、數(shù)顯鍵盤控制等各種類型電路模塊的設計和仿真分析,令學生掌握數(shù)字、模擬、數(shù)?;旌霞呻娐返脑O計方法及流程,在了解企業(yè)對于數(shù)字、模擬、數(shù)?;旌霞呻娐吩O計以及版圖設計等崗位要求的基礎上,有針對性地進行模塊課程的學習與實踐操作的鍛煉,使學生對于相關的EDA實踐內(nèi)容真正融會貫通,為今后就業(yè)做好充足的準備。

第三,根據(jù)集成電路設計本科理論課程的教學內(nèi)容,以各應用軟件為基礎,結合多媒體的教學方法,選取結合于理論課程內(nèi)容的實例,制定和編寫相應內(nèi)容的實驗課件及操作流程手冊,如黑龍江大學的“CMOS模擬集成電路設計”和“數(shù)字集成電路設計”課程,都已制定了比較詳盡的實踐手冊及實驗內(nèi)容課件;通過網(wǎng)絡平臺,使學生能夠更加方便地分享教學資源并充分利用資源隨時隨地地學習。

四、搭建校企合作平臺

第4篇:集成電路設計與仿真范文

我當年就是懷著對集成電路未來的美好憧憬,幻想著IC從業(yè)者西裝革履喝咖啡的小資生活。再加上那時開設該專業(yè)的還有清華、北大等“985工程”院校。于是我報考了這個前途無量的集成電路設計與集成系統(tǒng)(下簡稱集電)專業(yè)。

IC課堂知多少

前面提到了IC從業(yè)者,那IC究竟是什么呢?IC是半導體元件產(chǎn)品的統(tǒng)稱。那學這個有什么用呢?比方說自稱國產(chǎn)發(fā)燒級的小米手機,你知道它用的四核CPU是什么架構?28nm工藝又是什么工藝呢?更省電的電源管理芯片又是什么邏輯構造呢?這些在選擇了集電專業(yè)后,你都會一一了解到。在不久的將來,也許你設計的芯片還會在流水線上量產(chǎn)呢。

既然這個專業(yè)那么有用,那它是學什么的呢?首先,要做的就是電路設計,根據(jù)市場的需求依據(jù)電路功能設計出電路;接下來就是前期電路功能的仿真(就是將電路原理圖用專業(yè)軟件模擬出電路所實現(xiàn)的功能,主要是為了節(jié)省研發(fā)經(jīng)費和研發(fā)周期),檢測其是否能達到所要的參數(shù)需求;再次,用專業(yè)的軟件將電路版圖畫出來;最后,將畫出來的版圖進行后期仿真,與前期的仿真對比,看是否需要做出修改。若符合要求就生成版圖文件交給晶圓廠進行量產(chǎn),最后到封裝測試廠完成芯片的最后一道工藝。

如今,集成電路設計與集成系統(tǒng)專業(yè)已走過了9年,它變得越來越適應就業(yè)市場的需求。目前該專業(yè)分為三個方向。第一個方向是設計。這個方向又分兩類,數(shù)字集成電路設計是偏軟件類;而模擬集成電路設計是偏硬件類。有設計就要有生產(chǎn),該專業(yè)的第二個方向就是生產(chǎn)工藝。IC工藝能力決定了芯片的性能、功耗、散熱等諸多因素。而第三個方向是集成電路的封裝與測試。好的封裝才能夠使芯片發(fā)揮正常的功能,并保證其具有高穩(wěn)定性和可靠性。而芯片是否達到預期的研發(fā)目標,則需要更多的測試才能確定。

集電專業(yè)開設的課程較多,光專業(yè)基礎課就要分硬件和軟件,加上計算機應用技術、模擬電路與數(shù)字電路、電路分析基礎、信號與系統(tǒng)、集成電路應用實驗、現(xiàn)代工程設計制圖、微機原理與應用、固體電子學、電磁場與電磁波這些專業(yè)課,你會發(fā)現(xiàn)你的大學四年會過得格外充實。不過你放心,由于實驗課很多,學習并不會覺得枯燥。

就拿集電專業(yè)的核心課程——集成電路工藝課來說吧。這門課教授我們?nèi)绾伟堰€只是一個概念的集成電路芯片從有到無的“變”出來。喜歡玩手機的同學一定聽說過現(xiàn)在市面上最先進的高通的四核CPU吧,它的電路構成需要用到上百萬個我們所熟知的晶體管、電阻、電容等元器件??墒俏覀兊氖謾C只有那么小,上百萬個元器件怎么集中在那么小的一個芯片上呢?這就需要運用這門課所學的工藝技術,將這些元件制作在一小塊硅片、玻璃或陶瓷襯底上,再用適當?shù)墓に囘M行互連,然后封裝在一個管殼內(nèi),使整個電路的體積大大縮小,引出線和焊接點的數(shù)目也大為減少。而這其中的奧妙,就需要你帶著一份好奇心,步入大學的殿堂用心學習了!

前途寬廣,錢途無量

目前,很多歐美IC巨頭企業(yè)都在中國設有工廠或者研發(fā)機構,比如AMD、飛思卡爾、德州儀器、意法半導體、英特爾等。本土的IC公司也如雨后春筍般層出不窮,越來越多的海歸人才帶著國外的尖端技術和項目基金回國創(chuàng)業(yè)。這些電子廠都是離不開IC設計人才的。

2006年考研結束后,我只身南下,去上海找工作。在火車上,我接到了德州儀器的電話面試,可惜最后因為英語口語不過關被淘汰了,這也說明這個專業(yè)對于英語應用能力的要求還是比較高的。不過之后的半個月時間,各種面試電話就成了我幸福的煩惱,對于只是一名應屆本科畢業(yè)生的我,有的公司甚至開出了4500元月薪的條件,這是當時很多畢業(yè)生想都不敢想的,更何況一年還發(fā)16個月薪水!由此可見,對于集電專業(yè)的畢業(yè)生,只要你做了充分的準備,就會有成百上千的大門向你敞開。選擇做IC人,你將“錢途”無量!

集電專業(yè)的畢業(yè)生有較強的工作適應能力,就業(yè)范圍寬,可從事集成電路設計與制造、嵌入式系統(tǒng)、計算機控制技術、通信、消費類電子等信息技術領域的研究、開發(fā)和教學工作。

選“山”拜師很重要

第5篇:集成電路設計與仿真范文

集成電路設計實踐主要是提供學生一個實踐平臺,采用先進的集成電路仿真軟件,將書本上的知識采用模擬的方法進行加深理解。實踐內(nèi)容既是電路、模擬電子技術、數(shù)字電子技術以及課程設計中所學知識的應用,又是與最前沿科技緊密聯(lián)系的。而傳統(tǒng)的教學內(nèi)容和教學模式,缺乏對學生創(chuàng)造力的培養(yǎng),也缺乏與前沿科技的聯(lián)系,因此需要進行教學改革的探討和實踐。隨著教育改革的不斷深入,傳統(tǒng)的實踐教學中“以教師為中心”、“以灌輸為主要方式”的教學模式已無法適應時代的要求。先進的教學模式是人才培養(yǎng)的關鍵措施。研究型教學模式,又稱為研討式教學模式,是指教師以課程內(nèi)容和學生的知識積累為基礎,引導學生創(chuàng)造性地運用知識、自主地發(fā)現(xiàn)問題、研究問題和解決問題,以學生為中心,以知識掌握為基礎,以能力培養(yǎng)為主線,以提高素質(zhì)為目的的一種新模式。集成電路設計實踐同樣需要采用先進的教學方式,提高學生的創(chuàng)新能力,培養(yǎng)研究型IC設計人才。

2研究型實踐教學模式的作用分析

集成電路設計實踐引入研究型實踐教學模式,可以使相關領域的學生真正實現(xiàn)學有所用,不僅學習了集成電路設計的軟件知識,同時可以將課堂的理論知識通過工藝模型、電路設計、仿真方法來復現(xiàn),從而更深入的理解理論知識,而且可以通過一些電路實例來解釋生活中的一些現(xiàn)象,激發(fā)學習的興趣。集成電路設計是實踐性很強的一個方向,要求將工藝、器件、電路、版圖四個方面的理論課程融會貫通,而傳統(tǒng)的實踐教學旨在加強學生對軟件的認識,忽略對理論內(nèi)容的加深與貫通。通過研究型實踐教學模式的開展,可以在保證教學大綱不變的前提下,通過選擇適用性較強的實踐內(nèi)容,使學生一方面能夠?qū)⒏鏖T理論課的知識加深及貫通,另一方面可以使學生接觸到用人單位感興趣的課題內(nèi)容,有利于學生加強實踐的動力和持續(xù)進步。通過研究型實踐,對學校而言,可以培養(yǎng)更優(yōu)秀學生;對學生而言,可以掌握前沿知識、促進就業(yè)。研究型實踐成果的實現(xiàn)為學生的晉升、發(fā)展提供支持。學生的實踐研究成果如能公開發(fā)表或獲獎,能解決實際工作中的問題,這無形中為學生在工作崗位上的晉升、發(fā)展增加籌碼。這在最大程度上激發(fā)學生的實踐興趣,是其他任何實踐模式都不可比擬的。同時,研究型實踐教學鼓勵學生多看文獻、多寫總結報告,這也為學生撰寫本科畢業(yè)論文打下良好的基礎。

3研究型實踐教學模式的具體實施

3.1課程結構優(yōu)化

指導學生接觸各類資料,能夠提出問題,進而解決問題以掌握知識、應用知識,完成對知識的一個探求過程;對實驗內(nèi)容進行適當調(diào)整和完善,使課程體系更全面更科學,更能貼近行業(yè)發(fā)展,更能體現(xiàn)學生的主動性。

3.2采用課堂討論進行專題研討的教學方法

在研究型實踐教學模式中,師生互動有助于學生對基本概念、基本理論、基本方法的理解和掌握。根據(jù)課程需要,結合國內(nèi)外的研究現(xiàn)狀和發(fā)展趨勢,采用與行業(yè)內(nèi)吻合的實驗軟件,挑選合適的電路原型做仿真設計,并共同探討電路的優(yōu)化方案。

3.3專業(yè)資料查詢能力培養(yǎng)

為學生提供研究資料或指導學生進行資料查詢、整理,鼓勵學生從圖書館、書店、網(wǎng)絡等各種途徑查閱文獻資料,以充實自己的研究基礎。提醒學生要對已收集的資料進行批判性的研究,去偽存真,指導學生從這些資料中總結、分析、解釋與實踐研究課題相關的理論、知識經(jīng)驗以及前人的研究成果。

3.4指導學生撰寫專題論文(報告)

在研究型實踐教學過程中,指導學生通過論文、調(diào)查報告、工作研究、分析報告、可行性論證報告等形式記錄實踐研究成果。在撰寫論文時,要求學生要了解實踐課題研究報告的一般撰寫格式;要先擬訂論文的寫作提綱,組織好論文的結構,做到綱舉目張;會用簡練、嚴謹、準確的語言表達自己的思想,不追求文章的長短。指導學生開展專題電路討論,由學生根據(jù)自己感興趣的課題來查找文獻資料,進行研究,完成電路設計和仿真,最后完成專題論文的撰寫。

3.5鼓勵學生參與課題研究

為調(diào)動學生參與科研創(chuàng)新活動的積極性,激發(fā)學生的創(chuàng)新思維,提高學生實踐創(chuàng)新能力,鼓勵學生參加老師的課題,鍛煉學生的動手能力,培養(yǎng)“研究型”的思維模式。

4研究型實踐教學模式對教師和學生的要求

4.1研究型實踐教學模式對教師的要求

研究型實踐教學模式的實施對任課教師提出了新的要求:一是要熟練地掌握課程的基礎知識和內(nèi)在結構,還要掌握與課程相關的專業(yè)基礎知識和實踐的基本技能;二是要掌握學科最新信息,不斷更新知識,了解課程所涉及學科的最新動態(tài)和取得的最新研究成果;三是要熟練運用科學研究的方法和手段。這些都對教師提出了更高的要求。

4.2研究型實踐教學模式對學生的要求

第6篇:集成電路設計與仿真范文

>> PNG硬件解碼的加速設計 PNG的硬件解碼加速設計 H.264解碼器中CABAC硬件加速器的實現(xiàn) MPEG-4的解碼系統(tǒng)硬件電路設計 AVS視頻編解碼標準中預測編解碼技術的硬件設計與實現(xiàn) 基于OR1200的AVS視頻解碼幀內(nèi)亮度預測的硬件模塊設計 H.264熵解碼器CAVLC的硬件設計 AVS視頻解碼器中VLD模塊的硬件設計 一種SoC架構的AVS硬件解碼器設計方案 AVS視頻解碼器中運動矢量預測的硬件設計與實現(xiàn) 基于PT2262和PT2272編解碼芯片的無線尋物系統(tǒng)發(fā)送部分硬件設計 基于FPGA的硬件加速器設計的研究與應用 播放器硬件解碼的相關設置 讓PNG格式圖片變透明的簡單方法 基于FPGA的軟硬件協(xié)同仿真加速技術 軟件路由器的硬件加速研究 都是瀏覽器硬件加速惹的禍 硬件創(chuàng)業(yè)加速器HAX的深圳速度 旋變位置解碼系統(tǒng)的設計 繞開微軟實現(xiàn)硬件“軟”解碼 常見問題解答 當前所在位置:l.

[3]李章晶,鄭國勤.針對無線通信領域的圖像壓縮的研究\.計算機工程與設計,2006,27(23):4 471-4 474.

[4]Scott N puter Number Systems and Arithmetic\.New Jersey:Prentice Hall,Englewood Cliffs,1985.

[5]陶鈞,王暉,張軍,等.三維小波視頻編碼的可伸縮性研究\.小型微型計算機系統(tǒng),2005,26(2):285-288.

[6]Kakadiaris C.A Convex Penalty Method for Optical Human Motion Tracking\.International Multimedia Conference\.New York:ACM,2003:1-10.

[7]Zhang Z M.Independent Motion Detection Directly from Compressed Surveillance Video\.International Multimedia Conference\.New York:ACM,2003.

[8]Peleg A,Weiser U.MMX Technology Extension to the Intel Architecture\.IEEE Micro.,1996,16(4):42-50.

[9]Deutch P,Gailly J -L,Adler M.GZip\.,2008.

作者簡介

鄭天翼 男,1983年出生,福建福州人,碩士研究生。主要從事數(shù)字信號處理與集成電路設計的研究。

第7篇:集成電路設計與仿真范文

關鍵詞:振蕩器;D類音頻放大器;遲滯比較器

A CMOS Oscillator used in High-fidelity Class D Audio Amplifier

HE Qin,WANG Dan

(School of Information Science & Technology,Southwest Jiaotong University,

Chengdu 610031, China)

Abstract: A CMOS Oscillator is proposed in this paper,which can be used in a low-EMI filter-less Class D audio amplifier. This oscillator is made up of a comparator with internal positive feedback and used for conventional PWM modulation of audio signal. We can get a high resolution CMOS RC oscillator using this structure,which could be less independent of the voltage and temperature variation. The simulation results shows that this RC oscillator have a more stable frequency.

Keywords: Oscillator,Class D audio amplifier,hysteretic comparator

振蕩器作為現(xiàn)代電子系統(tǒng)的重要組成部分,被廣泛應用于時鐘同步電路、 無線通信收發(fā)器中的頻率綜合器、光通信中的時鐘恢復電路(CRC,clock recovery circuit ),以及多相位采樣電路中[1]。振蕩器按實現(xiàn)電路元件分為RC振蕩器、LC振蕩器和石英晶體振蕩器[2]。

設計集成芯片內(nèi)部的振蕩電路的關鍵在于產(chǎn)生振蕩信號頻率的穩(wěn)定性,它要求芯片不隨工藝、 溫度、 電源電壓的變化而變化[3]。本文采用內(nèi)部正反饋的遲滯比較器設計了一種高穩(wěn)定性寬電壓范圍的振蕩器。該振蕩器可以廣泛使用在D類音頻放大器中。

1 電路設計與原理分析

1.1 振蕩器系統(tǒng)電路結構及原理

振蕩器采用恒流源充放電技術,即利用恒定電流源提供的灌電流和拉電流分別對電容進行充電和放電。振蕩器的等效電路如圖1所示。

當振蕩器工作時,通過OPA的鉗位可以得到R4上端電壓等于R3上的端電壓,并由此產(chǎn)生一個恒定電流

IR4=■(1)

這個電流通過電流鏡的結構鏡像出去,作為充放電的電流并產(chǎn)生兩個比較器的高低比較電平

UA=IR4(R5+R6)(2)

UB=IR4R5(3)

通過電流鏡的寬長比的比值可以得到充放電的電流是相等的,即產(chǎn)生的三角波信號上升和下降的時間是相等的。此電流為

ICharge=Idischarge=■IR4(4)

分析充放電的過程,假設使能開啟使OSC工作,運放和比較器很快進入工作狀態(tài),比較的高低電平很快建立起來,輸入至比較器。此時,電容上沒有電荷,電壓為零,與A和B比較,兩個比較器分別輸出高電平和低電平。

通過鎖存器的工作使C為低電平,開啟MP7給電容充電。當USAW大于B電平時,比較器COMP2翻轉(zhuǎn)輸出高電平,由于鎖存器低電平觸發(fā),所以C維持低電平繼續(xù)給電容充電,直到USAW的電平達到A點電平時,COMP1比較器輸出低電平,觸發(fā)C信號翻轉(zhuǎn)輸出高電平,電容開始放電,USAW的電平馬上低于A點電平,比較器COMP1恢復輸出高電平,如此循環(huán)往復的工作。所以USAW的輸出正常工作之后是介于電平A和B之間的。

根據(jù)前面的公式推導,可以推出其周期公式。這里可以分兩部分來分析

C=■(5)

C1(UA-UB)=■×IR4×T1(6)

T1=■(7)

結合公式(1)、(2)、(3)、(4)可得

T1=2C1R6(8)

由于OSC的充放電時間相等,所可以得到振蕩器的周期為

T=2T1=4C1R6(9)

1.2 運放OPA

此OPA電路是采用折疊式共源共柵結構,如圖2所示,所以即使運放只有一級,在增益上還是可以滿足電路的設計要求。

等效輸出電阻

ROUT=[gm(MP9)ro(MP9)ro(MP6)]×[gm(MN9)ro(MN9)(ro(MN6)//ro(MP11))]

(10)

運放的增益為

ADB=g(MP11)×ROUT(11)

由于運放的輸出電阻ROUT及電容C1很大,所以在輸出端產(chǎn)生了一個低頻的主極點。

該主極點為

P=■(12)

1.3 比較器COMP

根據(jù)電路分析得,比較器COMP1為一級運放,采用了高速比較器結構,如圖3所示。同時此結構也可以對電路的等效跨導增強,提高比較器的增益。

2 電路的仿真結果與分析

圖4為振蕩器仿真結果,表1為在不同電源電壓及溫度下振蕩頻率值。由表1可以得出該振蕩器的頻率受電源電壓的影響比較小,隨著溫度上升則頻率增大,不同的process corner下頻率也不同。但是其波動范圍都在電源管理芯片以及音頻放大器芯片應用范圍之內(nèi)。

3 結束語

本文采用具有內(nèi)部正反饋的遲滯比較器的結構,設計了一種基于 CMOS工藝的

高性能高穩(wěn)定性的振蕩器。 該振蕩器對電壓、溫度、工藝偏差具有較強的容忍度。經(jīng)過仿真驗證結果表明,該振蕩器完全適用于D類音頻放大器,DC/DC等芯片中。

參考文獻

[1] 黃可,馮全源.一種基于BCD工藝的高性能振蕩器的設計 微電子學,2009,39(5).

[2] 李展,馮炳軍.一種基于內(nèi)部遲滯比較器的新型RC振蕩器[J] 微電子學,2009,32(1): 41-48.

[3] 李俊宏,李平,胥銳.一種 基于標準CMOS工藝的低成本振蕩器的設計[J ] . 微電子學,2007,37 (4) : 543-547.

[4] 陳巨,魯斌,王曉蕾. 消費類芯片RC振蕩器的分析與設計[J]. 中國集成電路,2005,(09) .

[5] 余清華,宋健,代杰. 一種基于恒壓源充放電的高精度張弛振蕩器的設計[J]. 電子世界,2011,(09) .

作者簡介

第8篇:集成電路設計與仿真范文

關鍵詞:功率MOSFET;線性高壓;運算放大器;功率驅(qū)動

中圖分類號:TN722.7文獻標識碼:B

文章編號:1004-373X(2010)02-010-02

Design of Linear High Voltage Amplifier Based on Power MOSFET

ZHANG Hao1,WANG Lixin1,LU Jiang1,LIU Su2

(1.The Institute of Microelectronics,Chinese Academiy of Sciences,Beijing,100029,China;

2.School of Physical Science and Technology,Lanzhou University,Lanzhou,730000,China)

Abstract:In order to achieve the linear control of high_voltage output in operational amplifier,based on the electrical properties of power MOSFET,a high_voltage operational amplifier is designed with new structure with power NMOS.Through simulation and experimental results,the linear output voltage is 0~50 V can be achieved,when the range of the input voltage is 0~5 V.And with the further improvement by utilizing power PMOS,the output voltage is -140~+140 V can be acquired,which indicates the high linearity,and with low cost,the needs of high voltage operational amplifier can be met.There is significance in the high power driving of modern communication.

Keywords:power MOSFET;linear high voltage;operational amplifier;power drive

0 引 言

高電壓放大器已經(jīng)廣泛應用于通信、信號檢測、功率驅(qū)動等方面\,并且已成為下一代無線通信系統(tǒng)的關鍵技術之一。采用各種手段和方法實現(xiàn)放大器高效率且高線性度的工作,對于未來無線移動通信技術的發(fā)展和實現(xiàn)有著十分重大的實際意義。

功率場效應晶體管具有跨導高,漏極電流大,工作頻率高和速度快等特點,線性放大的動態(tài)范圍大,在有較大的輸出功率時也能有較高的線性增益。這里成功應用功率場效應晶體管設計出一種高壓運算放大器。該放大器的制作成本低廉,輸出線性可控,適用范圍廣。

1 功率MOS器件結構與分析

功率MOS場效應晶體管是在MOS集成電路工藝基礎上發(fā)展起來的新一代電力開關器件,具有輸入阻抗高,驅(qū)動電路簡單,安全工作區(qū)寬等優(yōu)點\。圖1給出功率MOS晶體管的結構剖面圖及其電學特性曲線。采用雙擴散結構\制作適合用作功率器件的短溝道高壓晶體管,需要短的重摻雜背柵和寬的輕摻雜漂移區(qū)。由于外延層厚度決定了漂移區(qū)的寬度,因此也決定了晶體管的工作電壓,其漏源電壓公式為\:

VDS=(RJEFT+RACC+RFP)IMOS+Vf(1)

式中:RJFET為結型場效應管電阻;RACC為N-層表面電子積累層電阻;RFP為外延層電阻;IMOS為反型溝道電流;Vf為溝道壓降。

圖1 功率MOS結構圖及電學特性

2 電路設計

高壓運算放大器電路主要由運算放大器和功率場效應晶體管組成\,其結構原理圖如圖2所示。

圖2 高壓運算放大器電路圖

所設計的電路中使用價格低廉的運放LM358和NMOS功率管IRF630構成負反饋回路\,雙極晶體管C8050和電阻R4實現(xiàn)過載保護\,防止流過IRF630的電流過大,整個電路為反比例放大電路,R2為反饋電阻,其輸入和輸出的關系式為:

Vout=-(VinR2)/R1(2)

3 實驗結果及分析

根據(jù)圖2制作試驗電路板如圖3所示。供應電壓為60 V,R11.963 kΩ,R220 kΩ,放大倍數(shù)約為10.19。當輸入電壓為0~5 V時,先用EDA軟件對電路進行模擬仿真,然后對電路板進行測量,并進行比較,結果如表1所示。

圖3 實驗電路板

表1 輸出電壓的模擬結果與測量結果V

輸入電壓值仿真輸出測量輸出輸入電壓值仿真輸出測量輸出

0- 0.18- 1.52.5 -25.51-26.4

0.1 - 1.05- 2.13.0 -30.60-31.6

0.5 - 5.13- 6.23.5 -35.69-36.7

1.0 -10.22-10.94.0 -40.79-41.8

1.5 -15.32-16.14.5 -45.88-47.5

2.0 -20.41-21.35.0 -50.98-52.8

由表1可畫出輸入/輸出關系變化圖形,如圖4所示。從表1和圖4中可以看出,模擬結果和測量結果存在誤差,誤差ε=-1.095,這是因為測量精度和器件自身精度的誤差所引起的。當輸入電壓從0 V掃描到5 V時,得到等比例的放大輸出電壓,且呈線性變化,能夠?qū)崿F(xiàn)輸入電壓對輸出電壓的線性控制,具有很好的驅(qū)動能力。

圖4 電路輸入/輸出變化圖

根據(jù)以上分析,用PMOS功率管進一步改進電路,和用NMOS管構成一種推挽結構\的輸出電路,可以滿足輸入正負電壓的要求,如圖5所示。若選用耐壓350 V的NMOS功率管IRF713和耐壓300 V的PMOS功率管IRF9631,以及晶體管Q1,Q2和電阻R4,R5構成過載保護電路,則選取R2=280 kΩ,R1=10 kΩ,對電路進行仿真,輸入電壓范圍是-5~+5 V。當輸入電壓為負壓時,PMOS管導通,NMOS管截止,輸出為正電壓;當輸入電壓為正壓時,NMOS管導通,PMOS管截止,輸出為負電壓。輸入/輸出的線性關系如圖6所示,電壓輸出為+140~-140 V,可實現(xiàn)高壓的雙極性線性等比例放大輸出。

圖5 改進的線性高壓運算放大器

圖6 輸入/輸出線性關系圖

4 結 語

利用功率場效應晶體管的電學特性,并運用反饋運放的基本原理成功設計了高壓運算放大器。實驗結果和模擬結果驗證了所設計的電路輸出電壓線性度高,能夠?qū)Ω邏哼M行有效的線性控制。選擇耐壓高的功率管,可以實現(xiàn)更高電壓的線性輸出,達到高壓驅(qū)動的要求,電路結構簡單,制作成本低,可以滿足不同領域的要求,且具有很高的實用價值。

參考文獻

[1]Ting J W,Peng W P,Chang H C.High Voltage Amplifier\.IEEE Nuclear Science Symposium Conference Record\.2003(2):1 247_1 249.

[2]陳星弼.功率MOSFET與高壓集成電路\.南京:東南大學出版社,1990.

[3]Liu Sanqing,Cao Guangjun,Ying Jianhua,et al.Design of Double Diffused Structure Power ICs\.IEEE Semiconductor Conference\.1995:363_365.

[4]弓小武,高玉民,羅晉生.IGBT和VDMOS解析模型和模擬\.電力電子技術,1996,30(3):94_96.

[5]王英,何杞鑫,方紹華.高壓功率VDMOS 管的設計研制\.電子器件,2006,29(1):5_8.

[6]鐘清華,黃偉強,李子升.基于線性電源的高壓放大器\.現(xiàn)代電子技術,2004,27(15):6_7.

[7]童詩白,華成英.模擬集成電路設計\.北京:高等教育出版社,2000.

[8]Paul R Gary,Paul J Hurst,Stephen H Lewis.模擬集成電路的分析與設計\.4版.張曉林,譯.北京:高等教育出版社,2002.

[9]朱正涌.半導體集成電路\.北京:清華大學出版社,2001.

[10]謝書珊.功率集成電路技術的進展\.電力電子,2005,3(1):4_10.

第9篇:集成電路設計與仿真范文

關鍵詞:多媒體;仿真;電路

中圖分類號:G434 文獻標識碼:A 文章編號:1674-7712 (2013) 06-0172-02

隨著半導體集成和微電子技術的迅速發(fā)展,集成電路的品種和數(shù)量與日俱增,應用也越來越廣泛,集成電路變得無處不在。集成電路的使用大大簡化了電路的設計,并且使系統(tǒng)及設備的性能指標得到了很大提高。《集成電路原理與應用》課程作為電子測量技術與儀器專業(yè)的一門職業(yè)技術基礎課程,其內(nèi)容涵蓋電路基礎、模擬電子技術和數(shù)字電子技術等多門課程[1]。在本課程的教學中,我們充分利用了多媒體教學方式,以動畫形式展現(xiàn)集成電路的相關知識,大大激發(fā)了學生學習的積極性,大大豐富了教學內(nèi)容,同時,我們充分利用了計算機軟件仿真技術,將集成電路的典型應用電路通過ProtelDXP進行仿真實驗,擺脫了有限的實驗環(huán)境的限制,讓學生在學習集成電路相關知識的同時掌握了先進的計算機輔助工具,最后,我們給予了學生在萬能板上實現(xiàn)電子電路的機會,學生通過親身體驗制作和調(diào)試電子電路的過程,讓學生具備了一定的分析問題和解決問題的能力,同時收獲了通過自己努力實現(xiàn)目標之后的成就感。經(jīng)過教學實踐表明,本課程的教學內(nèi)容容易實現(xiàn),安排合理,學生參與的積極性高,取得了很好的教學效果。

一、教學內(nèi)容的安排

本課程的內(nèi)容繁雜,講授時間有限,因此結合我院電子測量技術與儀器專業(yè)人才培養(yǎng)方案的要求,將本課程的教學目標定位于應用,教學的重點在于典型集成電路芯片及其典型應用電路的分析講解、仿真和制作。首先應用線性集成穩(wěn)壓器制作出5~15V可調(diào)穩(wěn)壓電源,以供后續(xù)的集成電路應用電路使用。接著應用運放集成電路、定時集成電路、功放集成電路、非門集成電路和與非門集成電路制作出貼近生活的電子電路。具體教學內(nèi)容如表1所示。

二、教學實施的特色

(一)充分利用多媒體教學方式

隨著現(xiàn)代科技的發(fā)展,我們已經(jīng)進入了一個信息化的時代,多媒體已經(jīng)廣泛的用于教學領域。多媒體教學以聲音、圖片、動畫等豐富的媒體形式最大程度地調(diào)動了學生的視聽感官系統(tǒng),充分展示了教學手段的多樣化,改變了傳統(tǒng)的“一張嘴一支粉筆一塊黑板”的教學模式,為現(xiàn)代教育改革注入了新的生機和活力,從而為本課程改善教學效果帶來了福音。

本課程所涉及的集成電路芯片眾多,受到經(jīng)費的限制,不可能一一購買給學生展示,但是采用多媒體教學方式后,就可以將典型芯片的圖片一一展示給學生,大大降低了教學成本,同時也豐富了學生的視野。另外,有些集成電路的典型應用電路很多,如果采用板書的方式,受到課時的限制,不可能一一給學生講解,但是多媒體教學可以迅速地把課程資源顯現(xiàn)在學生面前,可以大大節(jié)省教師板書的時間,使教師可以傳授更多的知識,從而提高教學效率。同時,在電路的展示中配以動畫,豐富了電路的生命力,從而大大激發(fā)了學生的學習積極性。

(二)充分利用計算機軟件仿真技術

隨著電子技術和計算機技術的快速發(fā)展,電子產(chǎn)品的設計與計算機的聯(lián)系越來越緊密。作為以社會需求為第一要務的高職教育,在《集成電路原理與應用》課程的教學中,我們充分利用現(xiàn)有硬件條件,充分利用計算機軟件仿真技術,培養(yǎng)學生應用集成電路設計和分析電子電路的能力。

我們在教學中使用的軟件是ProtelDXP,學生已經(jīng)在前續(xù)《電子CAD》課程中學習了如何使用該軟件設計和仿真電子電路。使用ProtelDXP作電路仿真的基本流程[2]如圖1所示。

在本課程的學習中,學生在ProtelDXP中通過選擇元器件、連接電路、確定元器件參數(shù)實現(xiàn)集成電路的應用電路,還可以方便地對電路進行測試和修改,有助于增強學生對學習內(nèi)容的感性認識,培養(yǎng)學生主動思考的能力,而且可以將本專業(yè)所開設的課程聯(lián)系起來,實現(xiàn)幾門課程之間的融會貫通,促使學生學好相關專業(yè)課程,并且做到學以致用。

(三)動手制作電子電路

電子產(chǎn)品的設計與制作要求學生有較強的實際動手能力,因此,在本課程的教學中,全班學生以小組(一般4-5人一組)為單位,要求學生在已經(jīng)繪制好的電路原理圖基礎上設計出單面PCB圖,然后在萬能板上制作出相應的電子電路。

學生在電路原理圖和單面PCB圖的指導下焊接并調(diào)試電路。在整個制作和調(diào)試過程中,教師主要起指導作用,在必要時幫學生分析故障產(chǎn)生的原因,而學生才是主體,一切問題得由學生自己動手解決,從而大大提高了學生學習的主觀能動性。

制作和調(diào)試電路在整個教學過程中占用時間是最多的,無論多么簡單的電路,總是會有個別小組出現(xiàn)問題。但是,學生正是在不斷發(fā)現(xiàn)問題、解決問題的過程中加深了對所學知識的理解。另外,電路的調(diào)試離不開常用電子儀器儀表如萬用表、示波器等的輔助,這也讓學生實際體會到了在《電子測量技術》課程所學習知識的實用價值。

三、結束語

在本課程的教學中,通過任務引領,結合先進的計算機技術,學生在學中做,做中學[3],學做結合,充分調(diào)動了學生的學習興趣和積極性,學生的出勤率很高,而且參與率很高。學生通過動手制作和調(diào)試電路,學習能力和動手能力有了較大提高,從一開始遇到問題不知如何是好,到最后能夠查找電路中的簡單故障,可見學生解決問題的能力有了一定的提高。但是,也存在一些問題。首先,本課程的教學對教師的要求較高,教師不僅要具備深厚的理論知識水平,還要了解集成電路在實際應用中的情況,這就需要加強與企業(yè)間的聯(lián)系,在這方面需要進一步加強。其次,在當前的教學中,受到成本和課時的限制,集成電路芯片多采用引腳數(shù)量少的插針式元件,避免使用引腳數(shù)量多或貼片封裝形式的元件,這與當前集成電路在實際使用中情況有點相悖,在今后的教學中需要改進。

參考文獻:

[1]向繼文,劉昕.“集成電路原理及應用”教學改革[J].中國電力教育,2011,193(6):179-180