公務員期刊網(wǎng) 精選范文 數(shù)字電路設計知識范文

數(shù)字電路設計知識精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的數(shù)字電路設計知識主題范文,僅供參考,歡迎閱讀并收藏。

數(shù)字電路設計知識

第1篇:數(shù)字電路設計知識范文

(中國電子科技集團公司第二十研究所,陜西 西安 710068)

【摘要】提出了一種多頻信號采集系統(tǒng),基于衛(wèi)星信號射頻的直接采樣技術(shù),簡化了射頻前端,提高了采樣帶寬,沒有混頻,實現(xiàn)多頻點信號的同時采樣。再到FPGA重新采樣完成數(shù)字下變頻,進行分路濾波,程序緩存到DDR3,以太網(wǎng)對多路信號同步采集。該方法不僅使射頻系統(tǒng)結(jié)構(gòu)簡單靈活,同時降低了射頻前端帶來的干擾,提高信號采樣質(zhì)量和信號完整性。經(jīng)實驗仿真結(jié)果表明,該系統(tǒng)可以連續(xù)地采集多個頻帶的數(shù)據(jù),并通過對GNSS信號的捕獲驗證了系統(tǒng)的有效性。

關(guān)鍵詞 射頻直采;GNSS;FPGA;DDR3;濾波

Design and Implementation of Multi-frequency System in Direct-RF Quantization GNSS Software Receiver

WEI Wei

(China Electronic Technology Group Corporation 20th Research Institute, Xi’an Shaanxi 710086, China)

【Abstract】This paper puts forward a multi-frequency signal acquisition system based on sampling technology of RF satellite signals, simplifying RF front-end, increasing the sampling bandwidth, without mixing, multi-frequency signals can be sampled simultaneously. And then enter the FPGA sampling again to finish digital down conversion, shunts filtering, finally the procedure will be cached into DDR3, using Ethernet to realize the multi-channel signal synchronous acquisition. This method not only makes the RF system simple and flexible, but also reduces the interference caused by the RF front-end, achieving the integrity of the signals, improving the quality of sampling signal. The result of the experiment shows that the system is able to collect data from multiple bands continuously, and verifies the validity of this system through capturing the signals of GNSS.

【Key words】Direct-RF; GNSS; FPGA; DDR3; Filter

0引言

隨著電子技術(shù)和用戶需求的快速增長,衛(wèi)星導航技術(shù)已廣泛應用于國計民生、社會發(fā)展的各個領(lǐng)域,并顯現(xiàn)出巨大應用潛力。國際四大系統(tǒng)都開始運營,我國的北斗系統(tǒng)建設發(fā)展已經(jīng)有十幾年之久,目前只是覆蓋亞太區(qū)域的東南亞地區(qū),為以后的全球系統(tǒng)建設奠定基礎(chǔ),北斗系統(tǒng)逐漸進入到各個領(lǐng)域,具有重要的軍事戰(zhàn)略意義和顯著的經(jīng)濟效益。

利用多系統(tǒng)進行導航將有效地減小電離層時延誤差,提高定位精度,在有遮擋的區(qū)域可以提高導航的連續(xù)性和有效性。傳統(tǒng)的導航接收機,射頻前端需要多級混頻、放大、濾波,混頻器和放大器設計難度較大,如果在多頻點和多系統(tǒng)接收機中,這個難度就更大,針對此問題,本文設計研究了射頻直接采樣GNSS數(shù)字電路,規(guī)避混頻,簡化系統(tǒng)結(jié)構(gòu),增加了系統(tǒng)的靈活性,在接收不同頻段信號時,接收機只需要調(diào)整前端的濾波器和AD采樣率。

1硬件平臺設計

在衛(wèi)星導航接收機硬件思想描述上,為了保證信號完整性、實時性,按照軟件無線電的設計思路,盡量讓AD靠近天線端口。若AD具有高增益、高靈敏度、高動態(tài)范圍,那么前端的設計就可以簡化,首先在射頻前端用低噪放對信號進行放大、帶通濾波,然后對多頻信號進行分路濾波,再將濾波后的信號送至高速AD進行采樣,通過FPGA進行緩沖和數(shù)據(jù)處理(FIR數(shù)字濾波及抽取),最后將數(shù)據(jù)封裝成幀通過以太網(wǎng)口將數(shù)據(jù)打包送至上位機,上位機在物理層捕獲以太網(wǎng)數(shù)據(jù)包,解析MAC地址將需要的數(shù)據(jù)存儲到硬盤之中,最后通過軟件利用采集到的數(shù)據(jù)進行捕獲跟蹤及定位解算,圖1(a)為系統(tǒng)整體硬件平臺的結(jié)構(gòu)。

1.1射頻前端設計

目前,射頻直接采樣和數(shù)字下變頻主要有 2 種實現(xiàn)方式:一種是選擇較高采樣率對接收信號直接采樣,利用抽取濾波(在FPGA上實現(xiàn))方法降采樣率的多系統(tǒng)多頻點接收機,可以選用 1600MHz 的 A/D 采樣速率(時鐘上下沿同時采樣可以達到3.2GHZ),由于受到硬件和布線水平的限制,此方法實現(xiàn)難度大;另一種是選擇較低的采樣率,對幾個窄帶信號通過射頻直接帶通采樣完成簡單的數(shù)字下變頻,而直采技術(shù)具備與模擬射頻前端進行多級下變頻具有一樣的性能。本文將采用前一種設計思路,選擇合適的采樣率對接收的多路導航信號進行直采,然后利用多相濾波器結(jié)構(gòu)、積分梳狀濾波器、半帶濾波器與高階FIR 濾波器等技術(shù)設計抽取濾波網(wǎng)絡,降低信號采樣率,實現(xiàn)多頻信號的分離和下變頻。

ADC采樣時鐘來自于頻率合成器輸出的時鐘,為了滿足ADC對于采樣時鐘的相位噪聲,本設計使用了10MHz的原子鐘作為頻率合成器輸入,通過FPGA將頻率配置成需要的頻率,也可以由外部時鐘源直接倍頻輸出。前端需要將信號放大至ADC可以采樣的電平,接收到的衛(wèi)星導航信號能量約為-141dB,GNSS天線增益為50dB,前端的增益足夠?qū)π盘柌蓸印?/p>

(a)硬件系統(tǒng)平臺

(b)濾波結(jié)構(gòu)

1.2基于射頻直接采樣的采樣頻率選擇

帶通采樣定理在頻分多路信號的編碼、數(shù)字接收機的中頻采樣數(shù)字化中有重要的應用。

在系統(tǒng)設計中,使用帶通采樣定理對射頻信號進行采樣。根據(jù)帶通采樣定理,采樣率將只與信號帶寬有關(guān),與載波頻率無關(guān),這將使采樣率大大降低。采樣率最低應不小于兩倍頻率,也可以說成要求是不低于各頻帶帶寬和的兩倍,計算公式如下:

當帶通信號的頻率分布在某一有限的頻帶(fL, fH)內(nèi),由于帶通信號本身的帶寬并不一定很寬B=fH-fL,那么可以運用帶通采樣定理完成對信號的采樣。

針對表 1 中列出導航信號載頻及信號帶寬分布關(guān)系,首先確定合適的射頻采樣頻率這對整個系統(tǒng)的設計至關(guān)重要。1)利用數(shù)字混頻的方法將采樣頻率降低到124MHz(多路信號帶寬和),為了能夠進行整數(shù)倍抽取,考慮選擇 124MHz 的整數(shù)倍頻率;2)從圖2上可以看到,低載頻的5路導航信號L2C、E5b、E5a(L5)、L2P(Y)、E6 信號的頻譜相距很近,近似看為一個信號,記為A;同理 L1C/A(E1b/c) 頻點的信號看作另一個信號,記為B;3)由于信號A的帶寬較寬,為 127.875MHz(1 166.22MHz~1 294.095MHz),用式(1)對其進行帶通采樣,然后,用相同的采樣頻率對信號B進行采樣。

用此采樣頻率進行射頻直接采樣,可達到頻譜無混疊。并且進行D=3倍抽取后,即能降采樣到124MHz。采取分級抽取降采樣率的方法可實現(xiàn)。降采樣后,信號A與信號B相距很遠,仍然可以當作兩個窄帶信號來處理。

1.3降采樣率抽取濾波器的選擇

在FPGA上實現(xiàn)降采樣抽取濾波,濾波器選擇窄帶濾波器具有尖銳的截止特性(窄帶電調(diào)濾波器)。只有將帶寬參數(shù)趨近于信號帶寬,這樣就不會發(fā)生信號混疊,影響信號質(zhì)量。

AD采樣率太高,由于FPGA的工作頻率限制,必須降采樣運行。抽取就是把原來采樣點按每隔D點生成新的序列,這樣新的采樣率就降為原來的1/D(D>1),通過PLL將FIR的時鐘分出不同的頻率,用這個頻率接收FIR輸出的數(shù)據(jù),即可以完成數(shù)字信號D倍的抽取,抽取后將大大減少數(shù)據(jù)量,降低處理難度。

設原始輸入信號為X(n),抽取后的信號為XD(m),則抽取后的信號表示為:XD(m)= X(mD)。經(jīng)濾波抽取信號頻譜變?yōu)椋?/p>

要不想產(chǎn)生混疊,可以進行如下操作,首先原始信號通過一個LDP數(shù)字低通濾波器(帶寬為π/D),對原始信號進行濾波,使原始信號的頻譜中只含有小于π/D的頻譜存在,再進行D倍抽取,那么抽取后的頻譜就不會發(fā)生混疊。

常用的抽取濾波器包括半帶(HB)濾波器、積分梳狀(CIC)濾波器。HB濾波器是一種特殊的低通FIR數(shù)字濾波器,特別適合2倍抽取(D=2),并且HB濾波器的長度為奇數(shù),其沖激響應h(k)為實數(shù)且為偶對稱。當實際的抽取倍數(shù)不是2的冪次方,此時就需要用到積分梳狀濾波器進行3倍抽取。

1.4抽取濾波器的設計

針對圖(b)所示經(jīng)直接采樣后的導航信號頻譜示意圖,要實現(xiàn)多系統(tǒng)多頻點的分離并且降采樣。由于信號A與信號B頻譜相距較遠遠,采樣后信號利用一級CIC濾波器級聯(lián)實現(xiàn)3倍抽取,濾掉A信號,并且采樣率變?yōu)?24MHz。將L2C信號頻譜搬移到零頻,再用一個FIR低通濾波器,濾除帶外信號。L1 C/A信號的分離與降采樣率實現(xiàn)同L2C信號。對于A信號,將帶通采樣后的信號經(jīng)過一個3階高通濾波器后,濾除B信號,并進行抽取。同理將E6信號頻譜搬移到零頻,使用一級CIC濾波器實現(xiàn)1倍抽取,再經(jīng)過一級HB濾波器實現(xiàn)1倍抽取,再用FIR低通濾波器低通濾波,此時E6信號分離并且采樣率降低為124MHz。

數(shù)字信號處理中濾波器是核心,單級CIC濾波器的旁瓣電平是比較大,低于主瓣13.46dB,通帶紋波對主瓣的影響,阻帶截止特性不夠明顯。如果采用3級CIC濾波器級聯(lián),帶通特性明顯,阻帶衰減可達到40dB以上。幾百兆或者幾十兆的高速信號經(jīng)過CIC濾波器抽取不會發(fā)生頻譜混疊。HB濾波器具有良好的通帶抑制紋波小和阻帶截止?jié)L降特性明顯。以上兩種濾波器的幅頻特性由抽取次數(shù)和級聯(lián)級數(shù)決定,濾波和降采樣同時進行。

1.5其他核心器件

兩個DDR3即雙倍速率同步動態(tài)隨機存儲器。為了適應高速信號的采集存儲,保證采集信號的完整性和存儲的連續(xù)性。系統(tǒng)使用了兩片MICRON公司的高存儲密度和高帶寬的數(shù)據(jù)存儲應用的理想選擇。

在多頻高速信號數(shù)字電路中,時鐘電路是整個系統(tǒng)的最關(guān)鍵部件。采樣時鐘的抖動和相位噪聲會完整地傳遞給采樣輸出,從而影響系統(tǒng)的載噪比。同步時鐘依賴的時鐘穩(wěn)定度取決于時鐘芯片的電源相噪。本系統(tǒng)采樣時鐘由外部時鐘源提供LVDS電平,因此不需要對輸入時鐘源進行電平轉(zhuǎn)換及電路匹配設計,可以達到ADC的時鐘輸入要求。選用TI公司的芯片對時鐘電路進行管理,芯片傳輸延時75ps,周期間抖動0.5ps,可滿足時鐘分配及傳輸要求。

本系統(tǒng)采用MICREL公司的千兆以太網(wǎng)芯片,通過BEL公司的網(wǎng)口接插件(自帶電平變壓器),將采集的高速數(shù)據(jù)上傳至PC上位機或者至下級的DSP處理實現(xiàn)面向?qū)ο蟮娜藱C交互和顯控。以太網(wǎng)的PHY是直接連接到FPGA的內(nèi)部ARM核,將采集處理數(shù)據(jù)封裝成幀以MAC地址進行發(fā)送。以太網(wǎng)參考時鐘是25MHZ,可以倍頻到千兆。而到上位機進行數(shù)據(jù)獲取時,F(xiàn)PGA發(fā)送數(shù)據(jù)時僅使用以太網(wǎng)的物理層,所以在PC主機抓包時僅需關(guān)注數(shù)據(jù)包的 MAC 地址信息即可,不需要再對 TCP/IP 協(xié)議進行分析和處理。

2仿真實驗

通過配置不同采樣率,對實際衛(wèi)星信號進行采集存儲,利用快速捕獲算法,對采集到的數(shù)據(jù)進行捕獲處理,獲得信號相關(guān)峰,如圖3所示。分析頻率與碼相位在二維搜索的影響,對1ms信號進行時域和頻域的捕獲,并且噪聲系數(shù)和信號頻譜譜峰相差很大。因此,本文設計的直采系統(tǒng)適合GNSS系統(tǒng)。

圖3GNSS中頻捕獲仿真結(jié)果3結(jié)論

本文介紹了基于射頻直接采樣的GNSS多頻點數(shù)字系統(tǒng)的設計。論文從硬件平臺入手,主要有射頻前端、數(shù)字信號處理、以太網(wǎng)與上位機互傳等,對多系統(tǒng)多頻點采樣率選擇和FPGA采樣數(shù)據(jù)的抽取,利用半帶濾波和CIC濾波抽取方法實現(xiàn)中頻下變頻。該系統(tǒng)在靈活性和可擴展性方面都要優(yōu)于傳統(tǒng)的下變頻采集系統(tǒng),具備很好的通用性。

參考文獻

[1]陳媛,常青.導航信號的射頻直接采樣與數(shù)字下變頻方法[J].信息電子與工程,2010,10,8(5).

[2]楊亮,郭佩,秦紅磊.射頻直接采樣多頻GNSS信號采集系統(tǒng)的實現(xiàn)[J].電訊技術(shù),2011,8,51(8).

[3]黃杰文,李楊,禹衛(wèi)東.直接射頻采樣的 L 波段星載 SAR 數(shù)字接收機設計[J].中國科學院研究生院學報,2010,7,27(4).

[4]常高嘉,馮全源.基于 FPGA 的高速數(shù)據(jù)采集系統(tǒng)的設計與實現(xiàn)[J].電子器件,2012,10,35(5).

[5]Olivier Jamin, Vincent Rambeau, Franck Goussin,An RF Front-end for Multi-channel Direct RF Sampling Cable Receivers[J].December 18,2011 UTC from IEEE Xplore. Restrictions apply.

第2篇:數(shù)字電路設計知識范文

【關(guān)鍵詞】數(shù)字電路;VHDL;教學改革;設計方法

1.引言

數(shù)字電路是理工科中的電類專業(yè)和計算機專業(yè)必修的專業(yè)基礎(chǔ)課程,也是信息類各專業(yè)的平臺課程。該課程在介紹有關(guān)數(shù)字系統(tǒng)基本知識、基本理論、基本電路的基礎(chǔ)上,重點討論數(shù)字系統(tǒng)中各種邏輯電路分析與設計的基本方法,以及該領(lǐng)域的發(fā)展現(xiàn)狀及最新的技術(shù)。設置該課程的主要目的是為了讓學生了解各種基本邏輯電路,能熟練地運用有關(guān)知識和理論對各類邏輯電路進行分析設計。目前, 大多數(shù)高等院校仍是采用傳統(tǒng)的數(shù)字電路教學模式, 以教材為中心,過于強調(diào)基本原理、公式的推導以及波形的分析,往往讓學生覺得抽象,不能夠很好地理解電路、集成芯片的功能及應用。而實驗環(huán)節(jié)主要在實驗箱上完成,開設的是一些驗證性的實驗,對各實驗項目的電路設計以手工為主,一般遵循自底向上的設計方法,從電路的功能分析,真值表、表達式、邏輯電路圖到器件的選擇、連線、測試等,學生的認識僅僅停留在局部小部件上,復雜的系統(tǒng)設計思想受到限制。在數(shù)字電子技術(shù)飛速發(fā)展的今天,大規(guī)模以及超大規(guī)模集成電路的廣泛應用,這種缺乏實用性和創(chuàng)新性的傳統(tǒng)教學模式,已不再適應現(xiàn)代應用型人才的培養(yǎng)。因此,教學需要融入新技術(shù) 、突破傳統(tǒng)教學模式,引入VHDL語言的數(shù)字電路教學改革就成為一個重要的研究課題。

2.VHDL語言及其特點

超高速集成電路硬件描述語言(VHDL) 是一種用于數(shù)字電路設計的高級語言,是被IEEE和美國國防部確認為標準的硬件描述語言,其主要用于描述數(shù)字電路的結(jié)構(gòu),行為,功能和接口?;谶@種描述結(jié)合相關(guān)的軟件工具,可以得到所期望的實際數(shù)字電路。利用VHDL語言進行電路設計具有以下幾個特點:

(1)VHDL可用于設計復雜的、多層次的設計,并且支持設計庫和設計的重復使用;

(2)與其他的硬件描述語言相比,VHDL具有更強的行為描述能力;

(3)VHDL有豐富的仿真語句和庫函數(shù),使其在設計的早期就能查驗設計系統(tǒng)的功能可行性,借助于相關(guān)仿真器隨時可對設計進行仿真模擬;

(4)對于VHDL完成的一個確定的設計,一般可進行邏輯綜合和優(yōu)化,并能自動的把VHDL描述設計轉(zhuǎn)變成門級網(wǎng)表;

(5)VHDL語言支持電路描述由高層向低層的綜合變換,便于文檔管理,易于理解和設計的再利用;

(6)VHDL對于設計的描述具有相對獨立性,設計者可以不懂硬件的結(jié)構(gòu),最終實現(xiàn)的目標器件設計。

3.VHDL語言較傳統(tǒng)設計方法的優(yōu)點

通過上述特點,我們了解到VHDL語言功能強大、設計靈活、容易掌握。將VHDL語言引入數(shù)字電路教學中,有利于增強學生對電路設計的認識,掌握更多的設計方法,提高分析設計能力。本文針對六進制約翰遜計數(shù)器的設計,分別采用了傳統(tǒng)設計方法和VHDL方法進行設計,通過對比可得出,VHDL可以顯著提升數(shù)字電路的教學效果。

3.1 傳統(tǒng)設計方法

傳統(tǒng)電路設計采用自底向上的設計方法如圖1所示。本文選用JK、D觸發(fā)器及門電路來實現(xiàn),采用3個觸發(fā)器連接產(chǎn)生8個狀態(tài),六進制約翰遜計數(shù)器只有6個狀態(tài),將其中的010,011兩個狀態(tài)禁止掉,具體狀態(tài)轉(zhuǎn)換表如表1所示。

圖1 自底向上設計方法

表1 狀態(tài)轉(zhuǎn)換表

CLK Q2n'Q1n'Q0n Q2n+1'Q1n+1'Q0n+1

1 0..0..0 0...0...1

2 0..0..1 0...1...1

3 0..1..1 1...1...1

4 1..1..1 1...1...0

5 1..1..0 1...0...0

6 1..0..0 0...0...0

由狀態(tài)轉(zhuǎn)換表得出狀態(tài)方程:

,,

將Q2,Q1選用D觸發(fā)器,Q0選用JK觸發(fā)器,得出驅(qū)動方程:

,,,

根據(jù)驅(qū)動方程最終畫出邏輯原理圖如圖2所示。

圖2 邏輯原理圖

在得到邏輯原路圖后,還需要進行邏輯驗證,驗證無誤后再對邏輯原理圖進行邏輯驗證無誤后,在PCB版上完成布線、裝配、焊接及調(diào)試,如有問題,再進行局部修改,直至整個電路調(diào)試完畢為止。

圖3 自頂向下設計方法

3.2 VHDL設計方法

VHDL設計采用自頂向下的設計方法如圖3所示。首先根據(jù)設計要求對電路功能進行行為級描述和仿真,然后再進行RTL級描述和仿真,達到預期結(jié)果后再進行邏輯綜合、布局布線,最終完成電路設計。

(1)行為描述,也就是對計數(shù)器數(shù)學模型的描述,通過代碼描述出輸入、輸出引腳和計數(shù)過程中狀態(tài)變化時序及關(guān)系,具體程序如下:

LIBRARY ieee;

USE ieee.std_logic_1164.all;

entity counter6 is

port(clk,reset:in std_logic;

count_out:out std_logic_vector(2 downto 0));

end counter6;

architecture rtl Of counter6 is

signal next_count:std_logic_vector(2 downto 0);

begin count_proc:process(clk,reset)

begin if reset='0' then

next_count<="000";

elsif clk'event and clk='1' then

case next_count is

when "000"=>next_count<="001";

when "001"=>next_count<="011";

when "011"=>next_count<="111";

when "111"=>next_count<="110";

when "110"=>next_count<="100";

when "100"=>next_count<="000";

when others=>next_count<="000";

end case;

end if;

count_out<=next_count;

end process;

end rtl;

利用Max+plusⅡ軟件對上述程序進行編譯、仿真,仿真結(jié)果如圖4所示,結(jié)果表明,該方案符合設計要求。

圖4 仿真結(jié)果

(2)RTL描述,即用具體門電路、運算器等來描述行為部分。行為描述程序抽象程度較高,故需轉(zhuǎn)化為RTL方式描述的VDHL程序,以便于映射到具體的邏輯元件,得到硬件的具體實現(xiàn)。對于改寫后的RTL程序同樣需要進行仿真,檢查正確性。

(3)邏輯綜合,利用MAX+PLUS II Advanced Synthsis ALtera將其轉(zhuǎn)換為門級網(wǎng)絡表,輸出邏輯原理圖并進行仿真、檢查定時關(guān)系。最后根據(jù)需要利用門級網(wǎng)表做出ASIC芯片或生成FPGA碼點,完成電路設計。

3.3 VHDL與傳統(tǒng)設計方法比較

相較于傳統(tǒng)設計方法,VHDL采用自頂向下的設計方法,可進行結(jié)構(gòu)化、模塊化設計,更利于分工合作,再加上各層次的仿真檢查,便于早期發(fā)現(xiàn)錯誤并改正,提高了設計效率;同時設計描述的相對獨立性,使得學生設計時不必寫表達式、真值表,不必考慮所用器件,降低了設計難度;另外VHDL語言簡單易學,MAX+PLUS II界面友好,通過仿真波形分析,學生能更形象、更深刻的理解所學內(nèi)容。

4.結(jié)束語

數(shù)字電路作為專業(yè)基礎(chǔ)課程,其教學效果的好壞,將直接影響后續(xù)相關(guān)專業(yè)課程的學習。在數(shù)字電路教學中引入VHDL描述語言,利用MAX+PLUS II進行編譯、仿真、演示,不但豐富了教學內(nèi)容,改進了教學手段,提高學習興趣,還有助于學生消除“抽象感”;另外VHDL能將傳統(tǒng)教學中較難實現(xiàn)的電路設計轉(zhuǎn)換為軟件設計,不僅簡化了設計工作,還有利于增強學生對集成芯片的認識,提高分析設計能力,掌握更多的設計方法,以適應現(xiàn)代應用型人才培養(yǎng)要求。

參考文獻

[1]張?zhí)扈?基于VHDL的數(shù)字電路課程改革研究[J].湖北廣播電視大學學報:2010(02):25-26.

[2]黃紅霞.基于VHDL提升數(shù)字電路教學效果的研究[J].黃石理工學院學報,2010(03):66-70.

[3]譚勇,朱斌.基于EDA技術(shù)的數(shù)字電路實驗教學改革[J].中國現(xiàn)代教育裝備,2012(17):43-44.

第3篇:數(shù)字電路設計知識范文

【關(guān)鍵詞】高職教育 數(shù)字電路與仿真 教學改革 項目化教學法

【中圖分類號】G642 【文獻標識碼】A 【文章編號】1674-4810(2014)20-0094-01

數(shù)字電路與仿真是高職電子類專業(yè)一門主要的專業(yè)基礎(chǔ)必修課程。一直以來,數(shù)字電路與仿真都是采用黑板和實驗兩種教學模式。在進行理論講授時是以老師為主體,學生總是被動地接受知識;而在實踐環(huán)節(jié)教師仍以傳授知識為目的,實踐課程被作為課堂理論知識的驗證。一般的教學方法造成了在教學過程中教師一手包辦、學生動手機會較少的現(xiàn)象。在這樣的教學模式下,學生就會缺乏對這門課程的學習興趣,抑制學生的創(chuàng)造力和主動性,所以必須對數(shù)字電路的教學方式進行改革,引入項目化教學法。項目化教學法是將該課程的知識轉(zhuǎn)化為若干個教學項目,然后老師和學生通過共同完成一個完整的項目而進行的教學活動,這就是一種真正的理論與實踐相結(jié)合。在數(shù)字電路與仿真的課程改革中引入項目化教學,不僅打破了理論課、實驗課的界限,也將理論教學、實踐教學、企業(yè)的相關(guān)要求融為一體,達到了高職教育與企業(yè)生產(chǎn)的零距離,而且實現(xiàn)了以就業(yè)為導向、以技能為核心的辦學宗旨。

根據(jù)高職高專的培養(yǎng)目標,結(jié)合高職高專教學改革的要求,本著“工學結(jié)合、項目引導、任務驅(qū)動、教學做一體化”的原則。全書共有八個項目,包括三人表決器電路、搶答器電路、數(shù)碼顯示電路、計數(shù)分頻電路、觸摸式防盜報警電路、溫度檢測電路、數(shù)字鐘電路等的設計與裝調(diào)以及用FPGA實現(xiàn)計數(shù)器等。通過項目任務的完成,提高學生對數(shù)字電路的理解,使之能綜合運用所學知識完成小型數(shù)字系統(tǒng)應用電路的設計制作,包括查閱資料、確定電路設計方案、計算與選擇元器件參數(shù)、安裝與調(diào)試電路,能使用相關(guān)儀器進行指標測試和編寫實訓報告。

數(shù)字電路主要課程內(nèi)容為數(shù)制與碼制、邏輯函數(shù)、邏輯門電路、組合邏輯電路、觸發(fā)器、時序邏輯電路、存儲器、數(shù)/模與模/數(shù)轉(zhuǎn)換、脈沖信號產(chǎn)生電路及應用內(nèi)容。因課程內(nèi)容不集中,學生學后不加以運用,導致學生學完后容易忘記。所以,在課程改革中要以項目為單元、以應用為主線,將理論知識融入實踐項目中。讓學生在做中學、在學中做,鞏固每個理論知識點,提高自己的動手能力。

一 項目任務的選取

項目化教學法有別于一般的教學方法,課程中理論知識和實踐技能的傳授是通過完成具體的項目來實施的。因此,在數(shù)字電路的項目化教學中,項目任務的選取力求具有典型性和可操作性,數(shù)字電路項目化教學的任務為:(1)三人表決器的設計與制作;(2)搶答器電路的設計與制作;(3)數(shù)碼顯示電路設計與制作;(4)觸摸式防盜報警電路的設計與制作;(5)數(shù)字鐘的設計與制作。

二 項目教學的實施過程

項目任務在教學實施的過程中是要讓所有學生的參與教學活動,項目化教學注重的不是最終的結(jié)果,而是完成每個項目的過程。在數(shù)字電路的項目化教學過程中,要以項目為教學單元,采用分組的方法,每組包含4~5名學生,每個項目應根據(jù)課程的知識結(jié)構(gòu)分成若干個子任務,在每個子任務里要明確學生應掌握的每個基礎(chǔ)知識和操作技能,子任務的實施要以學生為中心,老師充當技術(shù)支持的角色,主要由各個小組成員一起探討問題和解決問題,老師可對學生給予指導和幫助,逐步培養(yǎng)學生養(yǎng)成自己思考的習慣。項目實施的過程為:(1)引入項目;(2)老師和學生共同分析項目,明確電路功能,確定若干個子任務;(3)學生通過查閱資料和所學的知識對每個子任務提出實施方案;(4)學生根據(jù)每組討論結(jié)果制定電路方案;(5)學生利用Multisim 10仿真軟件檢測所設計的電路是否符合要求,來依次完成各子任務;(6)學生把各子任務進行級聯(lián),通過綜合調(diào)試完成整個項目;(7)老師檢查設計及調(diào)試結(jié)果,然后進行教師評價、學生評價、自我評價;(8)書寫電路設計報告,分析電路設計的優(yōu)缺點。

三 項目化課程學習的管理制度

為了使每個項目順利進行,老師專門制作了項目進度表和子項任務卡。學生根據(jù)進度表了解本次任務要求和內(nèi)容。老師在進行學習管理時,采用學生實踐任務進度公示的方法,對那些進度較慢的學生給予輔導和幫助;對動手能力較強、完成項目快的學生給予獎勵。同時老師對理論知識的講授時間和實踐技能的演示時間也作了合理安排。這樣項目化教學的設計,不僅使學生由被動轉(zhuǎn)變成主動,還減輕了老師的教學負擔。

四 考核方式的改革

考核分為兩部分:理論考試和實踐考試,其中理論考試占30%,實踐考試占70%。對學生專業(yè)知識能力的考核以筆試的形式進行;對學生實踐動手能力的考核,參考制作過程的考查記錄和學生作品。這種綜合考核方式評價比較全面,更能體現(xiàn)高職教育培養(yǎng)目標。

第4篇:數(shù)字電路設計知識范文

關(guān)鍵詞:EDA技術(shù),項目化教學方法,課程改革

 

EDA技術(shù)是以數(shù)字電子技術(shù)課程知識為基礎(chǔ),具有較強實踐性、工程性的專業(yè)課程。將數(shù)字電路設計從簡單元器件單元電路設計,EWB軟件仿真提到了更高一級的可編程操作平臺上,進一步鞏固和提高學生電子電路綜合設計能力。但是,傳統(tǒng)的教學模式是將兩門課程分開,先上數(shù)字電路,后上EDA技術(shù),分兩學期授課。這樣的教學模式存在弊端,減弱了課程之間的聯(lián)系,降低了學生對數(shù)字電路理論的認識程度。通過對EDA技術(shù)課程的教學改革,以實訓的方式采用項目教學法,使學生在較短的時間內(nèi)掌握EDA技術(shù)基礎(chǔ)及其實驗系統(tǒng),從數(shù)字系統(tǒng)的單元電路,如譯碼器、計數(shù)器等入手,加深對數(shù)字電路基礎(chǔ)理論的認識,逐漸完成數(shù)字系統(tǒng)設計。

1. EDA技術(shù)及其在教學中的應用

1.1 EDA技術(shù)

EDA技術(shù)即電子設計自動化(Electronic DesignAutomation)是以計算機為工作平臺,融合了應用電子技術(shù)、計算機技術(shù)、信息處理及智能化技術(shù)的最新成果而形成的一門新技術(shù)畢業(yè)論文格式,是一種能夠設計和仿真電子電路或系統(tǒng)的軟件工具。采用”自頂向下”的層次化設計,對整個系統(tǒng)進行方案設計和功能劃分,系統(tǒng)的關(guān)鍵電路用一片或幾片專用集成電路(ASIC)實現(xiàn),然后采用硬件描述語言(HDL)完成系統(tǒng)行為級設計,最后通過綜合器和適配器生成最終的目標器件。圖1為一個典型的EDA設計流程。

圖1 EDA設計流程圖

1.2 EDA技術(shù)在教學中的應用

在教學過程中,EDA技術(shù)利用計算機系統(tǒng)強大的數(shù)據(jù)處理能力,以及配有輸入輸出器件(開關(guān)、按鍵、數(shù)碼管、發(fā)光二極管等)、標準并口、RS232串口、DAC和ADC電路、多功能擴展接口的基于SRAM的FPGA器件EDA硬件開發(fā)平臺,使得在電子設計的各個階段、各個層次可以進行模擬驗證,保證設計過程的正確性。從而使數(shù)字系統(tǒng)設計起來更加容易,讓學生從傳統(tǒng)的電路離散元件的安裝、焊接、調(diào)試工作中解放出來,將精力集中在電路的設計上。同時,采用EDA技術(shù)實現(xiàn)數(shù)字電路設計,不但提高了系統(tǒng)的穩(wěn)定性,也增強了系統(tǒng)的靈活性,方便學生對電路進行修改、升級,讓實驗不在單調(diào)的局限于幾個固定的內(nèi)容,使教學更上一個臺階,學生的開發(fā)創(chuàng)新能力進一步得到提高。

2.課程教學改革實施

2.1課程改革思路

課程改革本著體現(xiàn)鞏固數(shù)字電路基礎(chǔ),掌握現(xiàn)代電子設計自動化技術(shù)的原則來處理和安排EDA技術(shù)教學內(nèi)容。打破傳統(tǒng)的從EDA技術(shù)概述、VHDL語言特點、VHDL語句等入手的按部就班的教學方法,以設計應用為基本要求,開發(fā)基于工作過程的項目化課程,以工作任務為中心組織課程內(nèi)容,讓學生在完成具體項目的過程中來構(gòu)建相關(guān)理論知識。將EDA技術(shù)分為四個方面的內(nèi)容,即:可編程邏輯器件、硬件描述語言、軟件開發(fā)工具、實驗開發(fā)系統(tǒng),其中,可編程邏輯器件是利用EDA技術(shù)進行電子系統(tǒng)設計的載體,硬件描述語言是利用EDA技術(shù)進行電子系統(tǒng)設計的主要表達手段,軟件開發(fā)工具是利用EDA技術(shù)進行電子系統(tǒng)設計的智能化的自動設計工具,實驗開發(fā)系統(tǒng)則是利用EDA技術(shù)進行電子系統(tǒng)設計的下載工具及硬件驗證工具。采用項目化教學方法,以實訓的方式展開,讓學生在“學中做,做中學”。

2.2課程改革措施

以電子線路設計為基點,從實例的介紹中引出VHDL語句語法內(nèi)容。在典型示例的說明中,自然地給出完整的VHDL描述,同時給出其綜合后的表現(xiàn)該電路系統(tǒng)功能的時序波形圖及硬件仿真效果。通過一些簡單、直觀、典型的實例畢業(yè)論文格式,將VHDL中最核心、最基本的內(nèi)容解釋清楚,使學生在很短的時間內(nèi)就能有效地掌握VHDL的主干內(nèi)容,并付諸設計實踐。這種教學方法突破傳統(tǒng)的VHDL語言教學模式和流程,將語言與EDA工程技術(shù)有機結(jié)合,以實現(xiàn)良好的教學效果,同時大大縮短了授課時數(shù)。表1為課程具體內(nèi)容及實訓學時分配。

 

能力

目標

學習情境

項目載體

課時

QuartusⅡ開發(fā)工具使用能力

QuartusⅡ開發(fā)環(huán)境、實驗系統(tǒng)

二選一音頻發(fā)生器設計

6

VHDL語言編程能力

VHDL語言基本結(jié)構(gòu)

計數(shù)器電路設計

6

VHDL語言并行語句

8位加法器設計

8

VHDL語言順序語句

7段數(shù)碼顯示譯碼器設計

8

VHDL語言綜合運用

數(shù)控分頻器的設計

8

層次化調(diào)用方法

4位加減法器的設計

4

綜合開發(fā)調(diào)試能力

8位16進制頻率計設計;

十字路通燈設計;

數(shù)字鐘設計;

波形信號發(fā)生器設計,等。

(任選一題)

20

總計

 

第5篇:數(shù)字電路設計知識范文

關(guān)鍵詞:興趣導向;數(shù)字電路設計;實驗改革

作為計算機專業(yè)的第一門由本專業(yè)開設的硬件類實驗課,數(shù)字電路設計實驗在計算機專業(yè)的培養(yǎng)體系中起著極其重要的基礎(chǔ)性作用。首先,它承接了大學物理、電路理論基礎(chǔ)、模擬電子技術(shù)等先修公共基礎(chǔ)課程;同時,它又是計算機組成原理、微型計算機接口技術(shù)等后續(xù)課程的基礎(chǔ)。

我校計算機專業(yè)的數(shù)字電路實驗自2004年起使用自主開發(fā)的微機硬件實驗臺,至今已有6年時間,歷經(jīng)了多個教學循環(huán)。本實驗共24學時,分8次課,其中5次課為純硬件實驗,3次課為仿真實驗。對于計算機專業(yè)的學生,本實驗于大二下學期開設,在此之前,學生已有大學物理實驗及模擬電路實驗的基礎(chǔ),但對于實驗設備的使用、電路的調(diào)試并不很熟悉,很多學生都是第一次見到一些電子元器件實物。因此,本門課程的實驗起到了入門的作用,即通過數(shù)字電路設計實驗,學生將基本掌握萬用表、示波器等各種常用實驗設備的使用方法,了解電子電路的調(diào)試步驟,學會排除實驗當中遇到的各種故障。

正因為這門實驗課有著如此的基礎(chǔ)性地位,學生對這門課的重視程度就顯得尤為重要。實驗課不同于理論教學,實驗的主體是學生,學生的參與熱情直接決定了實驗教學的效果。下面,筆者將就如何提高學生在實驗當中的興趣與大家進行探討。

1現(xiàn)行實驗模式效果的分析

目前,計算機專業(yè)的數(shù)字電路設計實驗有著如下特點:內(nèi)容飽滿,課時緊張,對學生的預習工作和動手能力要求較高。由于數(shù)字電路設計的理論課所涵蓋的知識范圍非常廣泛,相應地,數(shù)字電路實驗的內(nèi)容就要將其中的要點一一體現(xiàn),這就導致每次實驗課的項目都很多,部分同學無法按時完成實驗操作。例如,在第一次實驗當中,我們安排了7個小的實驗項目,雖然難度不大,但對于第一次接觸數(shù)字電路實驗的學生來說,任務還是比較艱巨的。因為,在第一次實驗課上,學生需要熟悉實驗設備,需要回憶萬用表和示波器的使用方法,需要了解74系列芯片的管腳分布,這些就要占用相當長的時間,如果調(diào)試中再遇到一些故障,或是預習不充分的話,就無法在當堂課上完成全部的實驗任務;即使完成了,也都比較匆忙,根本談不上體會這些實驗的目的,實驗現(xiàn)象與理論間的關(guān)系。這樣一連幾次課下來,高強度的實驗訓練使得學生的動手能力顯著增強,但是驗證理論、分析現(xiàn)象,尤其是體會過程,這些實驗課開設的初衷并沒有完全實現(xiàn)。更值得注意的是,這會導致學生對實驗課產(chǎn)生倦怠感,甚至會影響學生做實驗的熱情與興趣。

2增強學生實驗興趣的探索

學生的學習效果一直是教學當中的重中之重,因此,本實驗中心對于提高學生興趣、讓學生以更大的熱情參與實驗作出積極的探索,并將一些想法逐步付諸實踐。

1) 改善實驗條件,降低門檻。

本中心通過自制實驗臺、改造實驗臺等方式為學生的實驗操作提供了方便,使得實驗設備的可靠性大大提高;同時,在實驗課前對設備、儀表等進行測試,并通過儀器逐個檢測實驗用芯片是否完好,大大降低了在實驗中設備環(huán)節(jié)出現(xiàn)故障的可能性,從而讓學生能夠把更多的精力專注于實驗本身。

2) 結(jié)合實際應用,提高興趣。

例如,在三態(tài)門的應用實驗當中,將三態(tài)門與數(shù)據(jù)總線結(jié)合起來,使得學生能夠了解到這一電路在實際當中的作用。

3) 強調(diào)預習作用,避免機械操作。

雖然我校的每次實驗課時間為2.5小時,遠多于理論課的1.75小時,但因為總的實驗學時有限,數(shù)字電路課程中大量的實驗內(nèi)容被壓縮到有限的實驗課時中,其結(jié)果便是幾乎每次實驗課的時間都很緊張。要想在有限的實驗時間中取得良好的效果,預習工作就一定要做好。首先,要充分掌握課堂上所學的理論知識;其次,要通過課前閱讀實驗指導書充分了解本次實驗的內(nèi)容,對設計性實驗,應在課前完成電路的設計,將課上有限的時間用于電路的搭建與調(diào)試,以充分利用實驗室的資源。這樣,學生才能做到知其然并知其所以然,看到表象背后的原理,而不是機械的操作。

4) 學會排除故障,增強信心。

在實驗過程中,學生不可避免地會遇到種種問題,導致實驗結(jié)果出錯。究其原因,既有可能是主觀上電路設計或連線過程中出現(xiàn)的問題,也有可能是實驗設備或?qū)嶒炂鞑某霈F(xiàn)了故障。在實驗課堂上,讓學生來修復設備的故障是不現(xiàn)實的,但是找到故障點,發(fā)現(xiàn)問題之所在,并通過更換實驗器材等方法來解決問題,這應該是學生在實驗課上所應掌握的技巧。因為實驗課既是對課堂理論的一種驗證,又是對現(xiàn)實工作環(huán)境的一次模擬。在未來的實際工作中,學生將會遇到各種各樣的問題,而實驗課正是鍛煉如何解決這些問題的好機會。一旦學生掌握了排除故障的方法,獨立解決了問題,他們就會很有成就感,甚至就此對排除故障產(chǎn)生了濃厚的興趣。因此,我們在盡力減少實驗中因客觀原因造成問題的同時,也應該向?qū)W生講明排除故障的必要性,并引導其對獨立解決各種疑難問題的興趣,增強其信心,令其克服畏難情緒[1]。

5) 安排創(chuàng)新實驗,拓展實驗范圍。

通過理論課教師與實驗中心的合作,充分利用實驗室現(xiàn)有資源,為有興趣做數(shù)字電路類創(chuàng)新實驗的學生提供實驗條件,并給予相應的指導。例如,在大一學生中開展的“年度創(chuàng)新計劃”中安排了密碼鎖、自行車里程表等簡單而有趣的小項目,供感興趣的學生自由選擇,使得同學們在沒有開始數(shù)字電路學習時就能夠?qū)Ρ菊n程的實驗有一個感性的認識,并發(fā)現(xiàn)其有趣之處,進而對今后的實驗充滿了期待。

3今后實驗進一步改革的構(gòu)想

隨著我校新一輪專業(yè)培養(yǎng)計劃的制定,數(shù)字電路設計實驗也迎來了又一次改革發(fā)展的契機。我們認為,在新的實驗課程設置方面,應進一步強調(diào)對學生創(chuàng)新能力的培養(yǎng),而在這一進程中,對學生的興趣引導被擺在了突出的位置上?;谂d趣導向的改革思路主要體現(xiàn)在如下幾個方面。

1) 引進新型設備,進一步降低門檻。

本中心計劃引進新的實驗設備,在增加了EDA實驗項目的同時,將原有的面包板接線方式改為固定插孔式接線,大大提高了連線的可靠性,降低了設備操作上的難度,使得學生能以較快的速度熟悉實驗臺,不至于在實驗伊始就產(chǎn)生畏難情緒。同時,可以將實驗設備和儀器儀表的使用方法及操作過程拍攝下來,制成視頻片斷并存放在實驗中心的網(wǎng)站上,供學生在課前下載觀看,以利于其提前了解實驗操作。

2) 設置演示實驗,激發(fā)學生興趣。

讓學生能夠看到實驗的結(jié)果,能夠知道數(shù)字電路具體的應用。展示給學生的可以是一些常見的電子產(chǎn)品,也可以是創(chuàng)新實驗中學生的成果。

3) 更新實驗內(nèi)容,增加其趣味性。

芯片內(nèi)部的電路是不可見的,芯片引腳的電平也無法直接觀察到。如果能設計一些直觀的實驗效果,肯定會比讓學生查看儀表的讀數(shù)更令其感興趣??梢栽O置一些有趣的實驗項目(如電子琴、數(shù)字鐘等),充分利用實驗臺上的各種資源,產(chǎn)生各種聲光效果,讓實驗的過程和結(jié)果都變得生動有趣。

第6篇:數(shù)字電路設計知識范文

關(guān)鍵詞:教學改革;實驗;數(shù)字邏輯電路;計算機專業(yè)

中圖分類號:G642 文獻標識碼:A 文章編號:1009-3044(2013)29-6570-02

數(shù)字邏輯電路實驗課程是電氣、電子信息類和部分非電類專業(yè)本科生在電子技術(shù)方面入門性質(zhì)的技術(shù)課。它在電類專業(yè)中深受青睞,但在非電類專業(yè)中的教學沒引起足夠的重視。長期以來,在我校計算機專業(yè)類數(shù)字邏輯電路實驗的實驗教學中,出現(xiàn)實驗教師難教學生厭學的現(xiàn)象。我們從學生學習該課程的現(xiàn)狀著手,通過對該課程的先導課程及后續(xù)課程進行調(diào)查分析,了解相關(guān)理論課學習的狀態(tài),并據(jù)此提出了相應的實驗教學改革措施,分三個階段對學生的學習能力及動手能力進行培養(yǎng),我們稱之為數(shù)字邏輯電路實驗課程“過三關(guān)”[1]。

1 數(shù)字邏輯電路實驗的教學改革思路

數(shù)字邏輯電路實驗在計算機類專業(yè)都把它作為一門主干必修課程,但相比專業(yè)課來說,非電類專業(yè)對該課程地位認識和重視程度是不一樣的,普遍存在的一種現(xiàn)象是“重軟件輕硬件”[2]。我校計科專業(yè)、網(wǎng)工專業(yè)的“數(shù)字邏輯電路實驗”課,安排在第三學期,并具有第二學期的“模擬電子技術(shù)”課程的基礎(chǔ)。而軟工專業(yè)的“數(shù)電”課安排在第二學期,并沒有提前開設“模電”課程,缺乏電路知識的先導。在總課時數(shù)壓縮的情況下,由于理論課和實驗課安排在同一學期,并在第一周同時開課,實驗課嚴重滯后于理論課的進度,造成學生想要學好又覺得心有余而力不足[3]。

第一關(guān):克服對數(shù)字電路實驗課的心理恐懼關(guān)

對計算機專業(yè)的學生來說,模擬電子技術(shù)和數(shù)字邏輯電路都很難學,更難于精。適合計算機專業(yè)的專用教材很少,更沒有比較適合的實驗教材。不得已沿用電類專業(yè)的教材,理論偏多偏深。單純的數(shù)字邏輯分析抽象、枯燥、乏味,遇到復雜的邏輯現(xiàn)象更容易讓人感到無從下手,產(chǎn)生畏難情緒。例如:教材[4][5]的第二章邏輯門電路,是學生們共同認為最難于理解、頭疼困難的內(nèi)容。在講解TTL(Transistor-Transistor Logic)基本邏輯門涉及到很多的電路基礎(chǔ)知識、基本電路元件(電阻、二極管、三極管等元件)、電路及結(jié)構(gòu)、半導體工藝、以及它們的電流、電壓、元件參數(shù)等內(nèi)部電氣參數(shù)的計算等。對電路原理的理解和對電子元器件認識存在困難。然而,計算機專業(yè)學習的重點并不在這些電路的內(nèi)部原理和前端設計,實驗所必需的電路基礎(chǔ)知識在課程中的應用暫時不用十分深入,可以不用刻意去理解邏輯器件的內(nèi)部結(jié)構(gòu)。重點應放在:一是掌握器件輸入和輸出之間的邏輯功能;二是外部的電氣特性其主要參數(shù)。相應的基本門電路實驗,目的包括掌握TTL基本邏輯門的邏輯功能驗證與參數(shù)測試;掌握TTL器件的使用規(guī)則;進一步熟悉數(shù)字邏輯電路實驗裝置的結(jié)構(gòu)、基本功能和使用方法?!拜p里重外”,將集成電路視為“黑匣子”,這樣電路基礎(chǔ)知識不再構(gòu)成計算機專業(yè)的學生學習的障礙。

在實驗教學中,改善實驗條件,增強實驗教學的趣味性。讓生活走進實驗、貼近生活。理論實驗化,實驗生活化。例如: 邏輯門實驗是認識數(shù)字電路的基本實驗,電子門鈴的原理就是利用與非門構(gòu)成振蕩器,使輸出端的鈴聲信號輸出,從而驅(qū)動喇叭發(fā)出鬧鈴聲的。除此之外,實驗還能進行趣味游戲如乒乓球游戲機等的設計。通過增加實驗內(nèi)容、改變實驗方法,多做實驗來改變學生怕做實驗的恐懼心理。

根據(jù)現(xiàn)在的理論課學時、教學計劃和實驗設備,改編有關(guān)內(nèi)容。以“與非門”邏輯為例說明改革實驗教學方法。采用先理論講解,以邏輯代數(shù)為基本數(shù)學工具,從基本邏輯門電路入手。實驗使用傳統(tǒng)標準數(shù)字邏輯器件四2輸入與非門74LS00,,用它構(gòu)成傳統(tǒng)的與非門驗證實驗。再用硬件描述語言VHDL(Very-High-Speed Integrated Circuit Hardware Description Language)[6]和復雜可編程邏輯器件CPLD(Complex Programmable Logic Device) [7]實驗實現(xiàn)“與非門”邏輯。這樣就建立了同一實際邏輯問題用多種不同層次方法進行實驗的模式:數(shù)字邏輯單元理論設計,以門電路為基本單元電路構(gòu)成各種組合邏輯電路和時序邏輯電路,使用標準數(shù)字邏輯器件中的中(MSI)、小規(guī)模(SSI)的TTL集成電路驗證;利用通用集成電路模塊產(chǎn)品,主要是用中(MSI)大規(guī)模(LSI)集成電路模塊,構(gòu)成預定功能的邏輯電路;再用VHDL和CPLD構(gòu)成復雜的電路系統(tǒng),步步推進,穿行融合。

第二關(guān):培養(yǎng)動手能力關(guān)

從數(shù)字邏輯電路實驗課程的知識結(jié)構(gòu)和特點分析,數(shù)字邏輯電路實驗主要由基本邏輯門電路,由門電路組成的基本組合邏輯電路和時序邏輯電路及通用集成電路模塊構(gòu)成。

在第一階段為數(shù)字邏輯電路基礎(chǔ)實驗(芯片級實驗)。由“一門而入”,選用傳統(tǒng)典型標準數(shù)字邏輯器件與非門,進行基本門電路邏輯功能測試與驗證,通過實驗使學生熟練掌握數(shù)字電路實驗箱的結(jié)構(gòu)和使用方法,使用示波器記錄描述邏輯功能的波形圖,實驗基本儀器測試集成電路外部電氣特性參數(shù)。掌握用與非門組成其它邏輯門及邏輯門之間的互換、解決不同門電路之間相互連接匹配問題。對集成門電路外形建立感性認識,熟悉芯片的外形封裝、芯片的引腳數(shù)量和分布情況。通過基礎(chǔ)實驗,訓練了學生的數(shù)字邏輯設計的基本功,為綜合設計性實驗打下良好的基礎(chǔ)。

第二階段為綜合設計實驗(單元級實驗)。主要有基本技能測試性綜合實驗、組合電路設計性綜合實驗、時序電路設計性綜合實驗、存儲器和D/A或A/D轉(zhuǎn)換電路的綜合實驗。

綜合設計性實驗主要是小系統(tǒng)邏輯設計實驗[8],每一個實驗系統(tǒng)可以由多片標準數(shù)字邏輯器件MSI、MSI的門電路組成。也可以用通用集成電路中的MSI、LSI的TTL集成電路芯片組成。實驗者可根據(jù)自己的設計做出不同種類的電路,培養(yǎng)對單元功能電路的理解和靈活運用能力。例在傳統(tǒng)數(shù)字邏輯電路實驗中,最為經(jīng)典的例子是“三人表決器實驗電路的設計” [9]。其中SSI門電路設計最為靈活,可以選擇一種與非門構(gòu)成“與非-與非式”、一種或非門構(gòu)成“或非-或非式”、與非門+或非門構(gòu)成“與或非式”。也可以采用通用集成電路模塊譯碼器、數(shù)據(jù)選擇器和加法器分別設計多種三人表決器實驗電路。

第三關(guān):VHDL及CPLD實驗提高復雜電路設計能力關(guān)

從第一、第二階段實驗的效果來看,這些實驗是在掌握SSI、MSI電路分析和設計的基礎(chǔ)上進行,達到預定的邏輯功能。這種方法設計的邏輯系統(tǒng)規(guī)模不宜太大,否則,系統(tǒng)需要很多芯片,連接線和接點復雜,導致可靠性下降、功耗增加,系統(tǒng)占用空間擴大。為此,可以采用大規(guī)模集成和超大規(guī)模集成技術(shù),把完成復雜功能的眾多芯片集成到一個芯片內(nèi)??梢钥朔鲜鰡栴}。這種能夠完成特定功能的集成電路芯片稱之為專用集成電路。用VHDL語言設計后,在CPLD中實現(xiàn),這已經(jīng)成為數(shù)字系統(tǒng)設計的主流。

將新技術(shù)和新型電路設計的方法充實到教學中去,以體現(xiàn)實驗與時俱進的先進性。第三階段的可編程器件的應用與可編程電路的EDA設計實驗(系統(tǒng)級實驗),要求學生用CPLD芯片重現(xiàn)第一階段的基礎(chǔ)實驗和第二階段綜合設計性實驗中的電路設計。訓練學生通過閱讀資料掌握可編程器件的功能及規(guī)范的使用方法。掌握EDA軟件的使用方法和設計語言。最終達到“了解一種器件,熟練使用一種設計工具,掌握一門設計語言,能夠設計較復雜的數(shù)字系統(tǒng)”的目的。

通過三個不同階段的實驗過程,將一種數(shù)字邏輯器件的基礎(chǔ)理論,用傳統(tǒng)器件實驗驗證或?qū)崿F(xiàn),再用VHDL及CPLD實驗復現(xiàn),三者融合循環(huán),螺旋式上升。實現(xiàn)數(shù)字邏輯電路實驗的教學改革,幫助學生突破在學習道路上的三道難關(guān)。

2 結(jié)論

侯建軍教授提出了“厚理博術(shù),知行相成”的教育理念。通過數(shù)字邏輯電路實驗,既要加強知識的學習,又要踐行所學的知識,提高實踐動手能力和創(chuàng)新能力。根據(jù)學生的特點確定教學目標,組織教學內(nèi)容,制定教學方法,以學生為主體,“教法”適應“學法”培養(yǎng)學生的學習興趣。倡導以啟發(fā)、探索和創(chuàng)新性實驗為核心的研究式學習方式,鼓勵學生參與國家級和校級的大學生創(chuàng)新創(chuàng)業(yè)項目,并參加各種國家電子技能大賽,取得很好的效果。

參考文獻:

[1] .“模擬電子線路”的“過三關(guān)”——談“模擬電子線路”教與學[J].電氣電子教學學報,2002(11).

[2] 楊漢祥.數(shù)字電路課程交叉知識的教學研討與實踐[J].贛南師范學院學報,2005(6).

[3] 管冰蕾,胡家芬.計算機專業(yè)《數(shù)字邏輯》課程教學改革的研究[J].時代教育:教育教學版,2009(3).

[4] 侯建軍.數(shù)字電子技術(shù)基礎(chǔ)[M].2版.北京:高等教育出版社,2009.

[5] 侯建軍.電子技術(shù)基礎(chǔ)實驗綜合設計實驗與課程設計[M].北京:高等教育出版社,2009.

[6] Volnei A Pedroni.VHDL數(shù)字電路設計教程[M].北京:電子工業(yè)出版社,2013.

[7] 王誠,趙延賓,梁成志.Lattice FPGA/CPLD設計(基礎(chǔ)篇)[M].北京:人民郵電出版社,2011.

第7篇:數(shù)字電路設計知識范文

關(guān)鍵詞:數(shù)字電路;故障;診斷;排除

中圖分類號:TN791 文獻標識碼:A 文章編號:1674-7712 (2013) 08-0000-02

電路故障是電路的異常工作狀態(tài)。因為所有的電子元器件都有一個可靠性及工作壽命問題,故出現(xiàn)故障的情況是難免的。因此,每一個電子技術(shù)人員應掌握一定的故障分析診斷、查找定位及排除的方法。在進行數(shù)字電路故障診斷之前,應該做好兩方面的準備工作。首先是知識的準備,必須對數(shù)字電路的常用電路類型及相應的工作原理有充分的了解,對其常用的元器件的工作原理及外觀、性能等要熟悉,并要掌握數(shù)字電路故障診斷的方法和步驟;其次是工具的準備,各種常用的工具和儀器儀表如萬用表、邏輯表、示波器、電烙鐵、吸錫器等,并掌握其性能及使用方法。

一、數(shù)字電路故障分類

數(shù)字電路的故障因其產(chǎn)生原因不同,可以分成若干類。

(一)由元器件引起的故障電路中的電阻、電容、電感、晶體管、集成電路等元器件由于質(zhì)量問題或使用時間過長而導致性能下降甚至損壞變質(zhì),電容、變壓器的絕緣層擊穿等問題最終都將導致該故障元器件失效。這一類故障原因常使電路出現(xiàn)如振蕩電路無輸出信號、數(shù)字邏輯電路有輸入信號卻沒有輸出信號的故障現(xiàn)象。

(二)因接觸不良而引起的故障電路中的各種接插件接觸不牢靠,焊接點的虛焊,開關(guān)、電位器接觸不良,空氣中的有害成分造成的印制電路板或連接線的氧化、腐蝕以及外力沖擊造成的機械性損壞等都有可能引起接觸不良故障。這類故障現(xiàn)象大多是電路完全不工作或間歇性地停止工作。

(三)人為原因引起的故障。在安裝的過程中,元器件的錯焊、漏焊,元器件的錯誤選擇,連接線的錯接、漏接、多接。在調(diào)試的過程中,由于粗心引起的短路或碰撞造成的損壞等,都是由于操作者自身的原因引起的人為故障。此類故障的表現(xiàn)形式往往多種多樣,上面提到的各種故障現(xiàn)象都有可能表現(xiàn)出來。

(四)各種干擾引起的故障。數(shù)字電路在使用過程中往往會受到一些外界因素的于擾,從而造成電路工作的不穩(wěn)定。這一類的干擾原因多是以下幾類:

(1)直流電源質(zhì)量較差。數(shù)字電路使用的直流電源一般都是由交流電經(jīng)整流、濾波、穩(wěn)壓得到的。若濾波效果不佳則會在直流成分上疊加上一定的紋波電壓,這種紋波電壓經(jīng)某種途徑竄入信號電路就會形成交流干擾。

(2)感應和耦合產(chǎn)生干擾。電路連線及其中的電阻、電容等元件之間均存在一定的分布電感和分布電容,這些分布元件的存在使得電路很容易受到外界的放電設備、高頻設備等的干擾,導致電路產(chǎn)生寄生振蕩,在無輸入信號時使組合電路產(chǎn)生一些雜亂輸出或使時序電路發(fā)生一些錯誤的狀態(tài)變化。

(3)電路設計不當產(chǎn)生的干擾。電路設計不當如接地點的阻抗過大、位置不合理等原因均會導致干擾。由各種干擾引起的故障主要表現(xiàn)為輸出不穩(wěn)定或邏輯關(guān)系不正確、輸出數(shù)碼顯示錯誤或不顯示等。產(chǎn)生故障的原因很多,上述所列的只是一些常見現(xiàn)象。故障發(fā)生的情況也很復雜,有的是一種原因引起的簡單故障,有的是多種原因相互影響而引起的復合故障。這就需要在掌握一定的故障檢測與定位方法的基礎(chǔ)上逐步提高排除故障的能力。

二、數(shù)字電路常見故障現(xiàn)象

比較常見的故障現(xiàn)象主要有以下幾種:

(1)振蕩電路無輸出信號。

(2)有輸入信號卻沒有輸出信號。

(3)電路完全不工作或間歇性地停止工作。

(4)雖然有輸出信號,但邏輯關(guān)系混亂。

(5)輸出不穩(wěn)定或邏輯關(guān)系不正確。

(6)輸出數(shù)碼顯示錯誤或不顯示等。

三、數(shù)字電路常見故障的檢測與定位

數(shù)字電路故障的檢測與定位指的是:當電路發(fā)生故障時,根據(jù)故障現(xiàn)象,通過檢查、測量與分析查找故障的原因并確定故障的部位,找到發(fā)生故障的元器件的過程。一般比較簡單的電路,其故障原因往往也比較簡單,故障的檢測與定位較容易;而較為復雜的電路,其故障往往也較復雜,故障原因的檢測與定位相對也就要困難一些。故障的檢測與定位是排除故障必需的步驟,必須掌握一定的方法。故障檢測與定位的方法很多,實際應用中應根據(jù)具體的故障現(xiàn)象、電路的復雜程度、可使用的儀器設備等情況綜合考慮使用,并根據(jù)電路的原理及實際的經(jīng)驗進行綜合判斷。這是一項需要積累一定經(jīng)驗才能較好地完成的工作。下面討論常用的電路故障檢測與定位的方法。

(一)直接觀察法。所謂直接觀察法是指不借助任何的儀器設備,直接觀察待查電路的表面來發(fā)現(xiàn)問題、尋找故障的方法,一般為靜態(tài)觀察和通電檢查兩種。其中的靜態(tài)觀察包括:

(1)首先觀察電路板及元器件表面是否有燒焦的印跡,連線及元器件是否有脫落、斷裂等現(xiàn)象發(fā)生。

(2)觀察儀器使用情況。儀器類型選擇是否合適,功能、量程的選用有無差錯,共地連接的處理是否妥善等。首先排除外部故障,再進行電路本身的觀察。

(3)觀察電路供電情況。電源的電壓值和極性是否符合要求,電源是否確實接人了電路等。

(4)觀察元器件安裝情況。電解電容的極性、二極管和三極管的引線端子、集成電路的引線端子有無錯接、漏接、互碰等情況,安裝位置是否合理,對干擾源有無屏蔽措施等。

(5)觀察布線情況:輸入和輸出線、強電和弱電線、交流和直流線等是否違反布線原則。靜態(tài)觀察后可進行通電檢查。接通電源后,觀察元器件有無發(fā)燙、冒煙等情況,變壓器有無焦味或發(fā)熱及異常聲響。直接觀察法適用于對故障進行初步檢查,可以發(fā)現(xiàn)一些較明顯的故障。

(二)參數(shù)測試法。參數(shù)測試法是借助于儀器來發(fā)現(xiàn)問題、尋找故障部位的方法。這種方法可分為斷電測試法和帶電測試法兩種。斷電測試法是在電路斷電條件下,利用萬用表歐姆擋測量電路或元器件電阻值,借以判斷故障的方法,如檢查電路中連線、焊點及熔絲等是否斷路,測試電阻值、電容器漏電、電感器喲通斷,檢查半導體器件的好壞等。測試時,為了避免相關(guān)支路的影響,被測元器件的一端必須與電路斷開。同時,為了保護元器件,一般不使用高阻擋和低阻擋,以防止高電壓或大電流損壞電路中半導體器件的PN結(jié)。帶電測試法是在電路帶電條件下,借助于儀器測量電路中各點靜態(tài)電壓值或電壓波形、支路電流等,進行理論分析,尋找故障所在部位的方法,如檢查晶體管靜態(tài)工作點是否正常,集成器件的靜態(tài)參數(shù)是否符合要求,數(shù)字電路的邏輯關(guān)系是否正確等。

(三)信號注入法。信號注入法是根據(jù)需要在電路輸入端加入一個符合要求的信號,按照信號的流程從前級到后級,用示波器或電壓表等儀器逐級檢查信號在電路內(nèi)各部分之間傳輸?shù)那闆r,分析電路的功能是否正常,從而判斷故障所在部位的方法。檢測時也可以從輸出級向輸入級倒推進行,信號從最后一級電路的輸入端加入,觀察輸出端是否正常,然后逐級將信號加入前面一級電路輸入端,繼續(xù)進行檢查。注意,只有在電路靜態(tài)工作點處于正常的條件下,才能使用這種方法。

(四)分割測試法。對于有故障的復雜電路,為了減少測試的工作量,可將電路分成幾部分,先找出有故障的部分,然后對有故障的部分再進行對分檢測,一直到找到故障點為止。

(五)斷開反饋線檢查法。對于一些有反饋的環(huán)形電路,如振蕩器、穩(wěn)壓器等電路,它們各級的工作情況互相有牽連,這時可以采用分割環(huán)路的方法,將反饋環(huán)去掉,然后逐級檢查,可以更快地查出故障部位,對自激振蕩現(xiàn)象也可以用這種方法檢查。

(六)對比法。懷疑某一電路存在問題時,可找一個相同的正常電路進行比對,將兩者狀態(tài)、參數(shù)進行逐項對比,很快就可以找到電路中不正常的參數(shù),進而分析出故障原因并查找到故障點。

(七)替換法。有時故障比較隱蔽,不能很快找到,需做進一步的檢查,這時可用已調(diào)試好的單元電路或組件代替有疑問的單元電路,以此來判斷故障是否在此單元電路。當確定有問題的單元電路時,還可以在該單元電路中采用局部替代法,用確認良好的元器件將懷疑有問題的元器件替換下來。逐步縮小故障的嫌疑范圍,最終找到故障點。

四、數(shù)字電路常見故障的排除方法

數(shù)字電路的故障類型較多,產(chǎn)生的原因也各不相同,因此排除的方法也不一樣。

(1)對由元器件引起的故障,當確認了故障元器件之后,只需將故障元器件用新的元器件代替即可。

(2)因接觸不良而引起的故障,當找到了故障點之后,重新進行焊接安裝或更換接觸不好的開關(guān)或接插件即可排除故障。

(3)人為原因引起的故障,由于其故障原因缺乏規(guī)律性,查找故障點相對困難些。但其出現(xiàn)的對象較有規(guī)律性,一般是在新手安裝、調(diào)試或維修的電路上出現(xiàn),且是在安裝、調(diào)試或維修之后就沒有正常工作過。當出現(xiàn)這樣的現(xiàn)象時就可以初步判定是人為原因引起的故障。此類故障一般使用直接觀察法就可以找到故障原因,但需要特別的仔細,需對照電路圖耐心地逐個檢查元器件連接線、接插件等,直到找到故障原因,及時處理。

(4)對各種干擾引起的故障,要分清原因,根據(jù)不同的干擾源,采用不同的對策。

1)直流電源質(zhì)量不佳產(chǎn)生的干擾,可采用紋波電壓小的穩(wěn)壓電源供電或引入濾波電路。

2)感應和耦合產(chǎn)生的干擾,針對感應或耦合產(chǎn)生的原因,可分別采用屏蔽、改變布局關(guān)系、改變走線方法、合理選擇接地點或增加補償網(wǎng)絡等方法排除。

3)電路設計不當產(chǎn)生的干擾,對此類故障需進行認真的研究和實驗來驗證,如確認是電路設計不當產(chǎn)生的干擾,就應該修改電路設計,將干擾排除。

參考文獻:

[1]馬宇飛,羅永芳.數(shù)字電路故障診斷及維修方法研究[J].科技資訊,2010,9.

[2]謝濤,何怡剛,侯玉寶.模擬數(shù)字電路故障診斷新方法[J].半導體技術(shù),2007,7.

第8篇:數(shù)字電路設計知識范文

關(guān)鍵詞:脈沖與數(shù)字電路;實踐教學;教學內(nèi)容;教學方法

中圖分類號:G642.0 文獻標志碼:A 文章編號:1674-9324(2014)10-0019-02

一、引言

《脈沖與數(shù)字電路》是電子信息、通信、計算機控制等專業(yè)的一門重要的專業(yè)基礎(chǔ)課,也是面向我院很多專業(yè)的一門基礎(chǔ)課。隨著“數(shù)字時代”的到來,該課程的作用與地位與日俱增。這不僅因為其理論知識在工科專業(yè)中占有重要的基礎(chǔ)性地位,更重要的這是一門理論聯(lián)系實際非常緊密的課程,通過本課程的學習,使學生掌握課程的基本理論、基本知識和基本技能,為深入學習后續(xù)課程并與有關(guān)專業(yè)的結(jié)合打好基礎(chǔ)。

二、教學體系和教學內(nèi)容改革

調(diào)整脈沖與數(shù)字電路課程的授課學期,建立層次化、模塊化的教學體系,對課程重新進行整合。整合后的脈沖與數(shù)字課程包括:“理論體系”、“實驗設計”、“電路仿真”以及“課程設計”。調(diào)整了實驗課程的教學內(nèi)容,在教學內(nèi)容和教學手段等方面進行了改革,進一步精簡小規(guī)模器件和傳統(tǒng)設計方法的介紹,加強現(xiàn)代設計方法的討論,提高了“脈沖與數(shù)字電路”課程的教學水平,使學生了解、掌握數(shù)字電子技術(shù)發(fā)展的最新知識。注重將課堂教學向課外延伸,鼓勵學生通過課堂學習掌握數(shù)字電路設計理論知識,在創(chuàng)新實驗室自行開展創(chuàng)新活動,學生通過理論與實際應用的結(jié)合,提高了自身的實踐和創(chuàng)新能力。依托綜合性大學文化優(yōu)勢,以大學生科技活動延伸課堂教育,積極開展大學生科技創(chuàng)新活動。學生通過課堂學習掌握的數(shù)字電路設計理論知識,在電子創(chuàng)新實驗室開發(fā)小制作等,通過理論與實際的結(jié)合,提高了自身的實踐能力和創(chuàng)新。

1.緊跟數(shù)字電子技術(shù)的發(fā)展,適時引進新的教學內(nèi)容。(1)“教、學、做相結(jié)合”的教學方法。教學中每章都從最基本的應用實例出發(fā),由實際問題入手通過技能訓練引入相關(guān)知識和理論,由實訓引出相關(guān)概念及相關(guān)電路。(2)“循環(huán)式”教學方法。通過實訓得到感性認識、定性認識。在簡單電路的基礎(chǔ)上通過逐步完善的方式一步一步組合成復雜系統(tǒng),通過對基本電路的多次重復,以循環(huán)向上的方式達到更高的程度。(3)“支架式”教學方法。將知識點分成基本邏輯器件、基本邏輯器件構(gòu)成的數(shù)字電路、基本數(shù)字電路構(gòu)成的簡單數(shù)字電路應用系統(tǒng)等模塊,每個模塊處于不同的層次,只有掌握了前面的模塊,才能學習后面的模塊,就像攀登支架一樣。

2.多種教學手段相結(jié)合的教學方法。針對這門課程的教學特點,在授課過程中采用課堂教學、實驗教學相互交叉融合的教學結(jié)構(gòu);制作《脈沖與數(shù)字電路》電子課件,利用多媒體等現(xiàn)代化教學手段教學;準備建立《脈沖與數(shù)字電路》課程學習網(wǎng)站,充分利用網(wǎng)絡進行輔助教學。實踐教學環(huán)節(jié)所占比例高,實踐環(huán)節(jié)改革力度大。增加了軟件仿真環(huán)節(jié),在教學內(nèi)容上更加注意體現(xiàn)現(xiàn)代技術(shù)要求的背景知識的教學特色,將最新的知識與技術(shù)納入教學內(nèi)容,同時將科研和教學改革中與教學內(nèi)容相關(guān)的成果引入教學過程中,通過理論與實際的結(jié)合,進一步提高學生的實踐能力和創(chuàng)新精神。

3.全新的考試方式。期末考試采用純理論試卷考試,占60%的成績;平時采用階段考試和實驗考核結(jié)合的方式,分別給出成績,結(jié)合平時的出勤、作業(yè)、實驗、課堂表現(xiàn)等方面給出40%的平時成績。

三、教學方法和教學手段的改革

在教學方法上,改變傳統(tǒng)的“滿堂灌”的教學模式,倡導并采用研究型學習。如針對“計算機輔助邏輯化簡”、“計算機輔助狀態(tài)化簡”等專題讓學生查閱資料、撰寫小論文,開發(fā)計算機輔助設計軟件。并讓學生走上講臺介紹各自的研究結(jié)果,鍛煉了學生的綜合素質(zhì),取得了很好的效果。為了使學生學習、了解器件的基本知識和使用方法,根據(jù)設計要求,自己到市場選擇器件,使學生在實際工作中了解器件的價格,掌握器件選購的方法和注意事項,為今后走向社會打好基礎(chǔ)。教師注重將科研成果轉(zhuǎn)化成本科教學的內(nèi)容,一方面體現(xiàn)在編寫的教材中,另一方面將自己的科研成果、工程設計方法和經(jīng)驗融入課堂教學中。如各種集成電路的特點、選擇方法以及正確使用方法等,如何根據(jù)集成電路的帶負載能力,在滿足應用需求的條件下,正確選擇拉電流負載或灌電流負載以及采取必要的驅(qū)動方式。這種理論結(jié)合實際的教學內(nèi)容,充分調(diào)動了學生的學習興趣和積極性,培養(yǎng)了學生解決實際問題的能力,取得了非常好的效果。注重將課堂教學向課外延伸。以大學生科技活動延伸課堂教育,積極開展大學生科技創(chuàng)新活動。學生通過課堂學習掌握的數(shù)字電路設計理論知識,通過理論與實際的結(jié)合,提高了自身的實踐能力和創(chuàng)新。

隨著科學技術(shù)的發(fā)展,新的教學手段必須體現(xiàn)在整個課程的教學環(huán)節(jié)中。本課程的特點是內(nèi)容豐富、圖表較多,傳統(tǒng)的課堂教學有一定的困難,而采用多媒體技術(shù)將復雜的圖表直觀、形象地展示出來,不僅便于教師的講解和學生的學習,而且還將大大增加課堂的信息量,解決課時少、內(nèi)容多的矛盾。但是課堂教學不能完全依靠多媒體課件,從調(diào)動學生思維、加強師生互動和循序漸進講解以便學生理解等方面考慮,目前“脈沖與數(shù)字電路”課程中主要采用了PowerPoint課件和板書相結(jié)合的方法,可以相互取長補短,效果較好。利用脈沖與數(shù)字電路課程網(wǎng)站,將教學內(nèi)容制作成視頻資源、課件等資源鏈接等放在網(wǎng)絡平臺上,充分發(fā)揮網(wǎng)絡突破空間、距離限制的優(yōu)勢,讓學生能夠最大限度地利用學習資源,自主地學習和提高,彌補課堂上未能及時消化吸收的部分內(nèi)容。

四、實踐教學內(nèi)容和方法的改革

在傳統(tǒng)的教學理念中,長期存在著重視理論教學而輕視實踐教育的問題。教師只注重對學生理論知識的講授與輔導,相對忽視了理論知識在實踐中的應用,這導致學生的實際動手能力普遍偏低。此外,大多數(shù)的實驗教學都是作為理論教學的補充,并不單獨設課,而且試驗內(nèi)容往往都是驗證性的,雖然能夠使學生加深對理論知識的理解,但是會在一定程度上造成學生的思維局限于相應的理論課程。從總體教學計劃上來說,相對于理論教學實驗學時相對較少,這讓學生沒有足夠的時間消化實驗老師講解的內(nèi)容,只能被動地按照要求完成實驗任務,而不能有效利用做實驗的機會進行實踐能力的培養(yǎng)。

1.改進實驗教學方法,提高教學效率。在實驗教學方式上,要改變傳統(tǒng)的實驗教學方式,采用多樣化的實驗課程組織方式。在實驗課上教師可以只對實驗原理、實驗內(nèi)容進行適當?shù)闹v解,對稍微復雜的實驗進行現(xiàn)場演示,給學生更大的自由發(fā)揮的空間。這樣學生會根據(jù)老師布置的實驗任務,積極開動腦筋,嘗試采用不同的試驗方法來解決問題,從而達到實踐教學的目的。根據(jù)電子信息產(chǎn)業(yè)發(fā)展新的形勢,逐步改革傳統(tǒng)的實驗教學方法,充分利用多媒體等現(xiàn)代化教學手段于實驗教學中。完善實驗教學資源共享的網(wǎng)絡系統(tǒng)、實驗室網(wǎng)絡管理化系統(tǒng)和實驗教學指導與監(jiān)控系統(tǒng),學生在做實驗之前可通過網(wǎng)絡系統(tǒng)對實驗進行預習,熟悉儀器工作原理和使用方法,從而充分利用實驗課堂時間,提高實驗教學的效率和教學水平。

2.提高學生學習的積極性。探索實驗教學的新型模式,建立“以人為本”的實驗教學方式,通過實驗設備的更新、實驗內(nèi)容的改革,增加實驗室對學生的吸引力,充分調(diào)動學生參與實踐的積極性。改變實驗教學方法,變以老師為中心的實驗教學方式為教師與學生、學生與學生平等開放的教學方式,提高學生做實驗的興趣。鼓勵學生認真對待電子信息類專業(yè)中的每一個基礎(chǔ)的和專業(yè)的實驗,把那種“質(zhì)疑一切,一絲不茍,勇于探索,努力學習,大膽動手”的精神,貫穿于每一個實驗之中。循序漸進,通過由簡單到復雜、由一般到個別的實驗訓練,達到理論聯(lián)系實際的目的。學生在做完基本的實驗項目后,在學有余力的前提下,可以根據(jù)個人的興趣和愛好,選擇參加一些層次較高的具有綜合性和研究性的開放性實驗。這類實驗教學的內(nèi)容大多是來源于具體的橫向的項目或縱向的科學研究與技術(shù)革新課題,具有先進性、綜合性和科研性。教師在進行實驗指導時,應發(fā)揮學生在學習中的主體作用,積極調(diào)動學生的積極性,培養(yǎng)學生勇于探索、敢于實踐的進取精神。同時要鼓勵學生以市場為導向,尋找科研開發(fā)群體,運用集體的智慧和力量,進行創(chuàng)造性實驗,使學生獲得發(fā)揮創(chuàng)造才能的機會。這種開放式的實驗教學方法,是培養(yǎng)學生創(chuàng)新能力,拓寬學生知識面,增長學生動手能力的有效途徑。

五、結(jié)論

通過以上各項改革,提高了學生分析問題、綜合問題、解決問題的能力,既滿足學生對知識的渴求,又培養(yǎng)了獨立探索、富于創(chuàng)新、勇于開拓的精神;具有生動、形象、操作性強的特點;加強了現(xiàn)代設計方法的講授和討論;注重將新技術(shù)和教師的最新科研成果、工程設計方法和經(jīng)驗融入課堂教學中,充分調(diào)動了學生的學習興趣和積極性;注重將課堂教學向課外延伸,積極開展大學生科技創(chuàng)新活動;通過理論與實際的結(jié)合,進一步提高了學生的實踐能力和創(chuàng)新精神。

參考文獻:

[1]李曉輝,許先番.電子信息科學與工程類專業(yè)學生創(chuàng)新能力培養(yǎng)的實踐與探索[D].2008年全國高等學校電子信息科學與工程類專業(yè)教學協(xié)作會議論文集,北京郵電大學出版社,2008.

第9篇:數(shù)字電路設計知識范文

關(guān)鍵詞: 數(shù)字電路 《組合邏輯電路設計》 教學方案

組合邏輯電路是數(shù)字電路中的一個重要內(nèi)容,分為組合邏輯電路分析和設計兩大塊內(nèi)容。組合邏輯電路分析是根據(jù)已知電路確定其所實現(xiàn)的邏輯功能,而組合邏輯電路設計是根據(jù)實際問題所要求達到的邏輯功能,求出實現(xiàn)該功能的最簡邏輯電路圖,兩者是相逆的過程。其中,組合邏輯電路設計在教材中起著承前啟后的作用,既是對前面所學的邏輯門電路、真值表、邏輯表達式和邏輯代數(shù)等知識的綜合應用,又為后續(xù)編碼器、譯碼器等中規(guī)模組合邏輯電路的學習奠定基礎(chǔ),掌握這節(jié)內(nèi)容是學好數(shù)字電路的重要一環(huán),對培養(yǎng)學生正確的邏輯思維能力,提高分析問題和解決問題的能力都有十分重要的作用。要想上好這一部分內(nèi)容,精心設計教學方案是前提條件,我通過幾年的電路教學,總結(jié)出了該課題的教學方案,現(xiàn)與大家一起分享。

一、引入課題

通過復習組合邏輯電路的分析過程(根據(jù)電路寫出各輸出端的邏輯表達式化簡和變換邏輯表達式列出真值表得到邏輯功能),我引導學生進行逆向思維,并提出問題:“當遇到實際的邏輯問題應如何解決?”讓學生推導出解決該邏輯問題的步驟,發(fā)現(xiàn)其實它就是組合邏輯電路分析的逆過程,從而引出本節(jié)課的課題――組合邏輯電路的設計。

二、新課講授

1.舉例:利用基本門電路實現(xiàn)一個三位判奇電路。

解題過程:(1)分析題目:根據(jù)題目要求確定輸入情況和輸出情況,本題中用A、B、C作為輸入變量,用F作為輸出變量。當三個變量中有奇數(shù)個變量為1時,輸出為1。否則,輸出為0。

(2)列真值表

((4)根據(jù)邏輯表達式畫出電路圖

2.通過學習上面例題的解題過程,布置一道類似的題目:設計一個四位判偶電路,請學生完成,并請2位學生上黑板解題。

3.請學生觀察剛才兩道題目的解題過程,發(fā)現(xiàn)兩者的解題思路是一樣的,從而歸納出組合邏輯電路設計的步驟。

(1)分析題目的邏輯關(guān)系,列出真值表。

從實際問題抽象出電路的輸入輸出,建立輸入和輸出之間的邏輯關(guān)系,并正確地列出真值表,是組合邏輯電路設計的關(guān)鍵,它的正確與否直接關(guān)系著設計的正確與否。一般把引起事件的原因作為輸入變量,把事件的結(jié)果作為輸出變量,再以二值邏輯的0、1兩種狀態(tài)分別代表變量的兩種不同狀態(tài),并根據(jù)給定的因果關(guān)系列出真值表。在教學過程中,教師可以采用多媒體手段,通過形象的比喻、生動的畫面吸引學生的注意力,幫助學生理解題目。

(2)根據(jù)真值表寫出邏輯函數(shù)表達式。

具體方法為:①由真值表中找出使邏輯函數(shù)輸出為1的對應輸入變量取值組合;②每個輸入變量取值組合狀態(tài)以邏輯乘形式表示,用原變量表示變量取值1,用反變量表示變量取值0;③將所有使輸出為1的輸入變量取值邏輯乘進行邏輯加,即得到邏輯函數(shù)表達式。

(3)化簡表達式。

為了使邏輯電路中包含的邏輯門最少且連線最少,要對邏輯表達式進行化簡,求出描述實際問題的最簡表達式。一般采用邏輯代數(shù)公式或卡諾圖進行化簡,但當邏輯表達式較復雜時,采用卡諾圖化簡更快更簡單,且出錯率低。

(4)根據(jù)題目要求畫出邏輯電路圖。

根據(jù)簡化后的邏輯表達式,結(jié)合題目的具體要求,如果對所用邏輯門電路有一定限制,就需把表達式變換為與所選門對應的形式,最后選擇合適的門電路替換表達式中的運算符號,即可畫出邏輯圖。

4.分析剛才的例題,電路要求由三種基本邏輯門電路組成,請同學們思考一個問題:能否用其他學過的門電路實現(xiàn)它們的邏輯功能呢?我讓學生分組討論,激發(fā)學習興趣,提高分析問題、歸納總結(jié)問題的能力,同時培養(yǎng)創(chuàng)新能力,最后每組推選一名代表起來作總結(jié)。我針對三組討論的結(jié)果進行小結(jié)??梢园l(fā)現(xiàn)例題的表達式可以寫成:F=ABC,從而得到如下的電路圖。

從上面兩個電路圖可以看出,組合邏輯電路的設計不是唯一的,利用不同的元器件可以設計出完全不同的電路圖。那么如何才能得到最簡單最實用的電路呢?在組合邏輯電路設計時它有一個標準,利用SSI電路進行設計時,最簡的標準是所有門電路的數(shù)目最少,輸入端數(shù)目也最少;利用MSI電路進行設計時,最簡的標準是MIS電路集成塊的個數(shù)最少、品種最小、連線也最少。只有了解了這個標準,學生在設計電路時才能得到最佳電路。

三、課題總結(jié)

1.組合邏輯電路設計的步驟。

分析題目列真值表寫邏輯表達式化簡表達式畫出邏輯電路圖。

2.組合邏輯電路的設計不是唯一的,學生在設計時應該根據(jù)標準得到最佳電路。

上述就是組合邏輯電路設計的整個教學方案,當然其中還有很多不足之處,還需不斷改進和完善。教學方案設計的質(zhì)量是提高課堂教學質(zhì)量的第一關(guān),設計出高質(zhì)量的教學方案,是廣大教師一生追逐的目標。

參考文獻:

[1]閻石.數(shù)字電子電路.中央廣播電視大學出版社.