公務(wù)員期刊網(wǎng) 精選范文 計(jì)算機(jī)輔助電路設(shè)計(jì)范文

計(jì)算機(jī)輔助電路設(shè)計(jì)精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的計(jì)算機(jī)輔助電路設(shè)計(jì)主題范文,僅供參考,歡迎閱讀并收藏。

計(jì)算機(jī)輔助電路設(shè)計(jì)

第1篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

一、中職學(xué)生學(xué)情分析

中職學(xué)生思維活躍、精力充沛、反應(yīng)較快、動(dòng)手操作能力強(qiáng),但理論知識(shí)比較薄弱。通過一年的學(xué)習(xí),學(xué)生掌握了電子電工的基本知識(shí),基本上會(huì)看原理圖,但掌握得還不夠,具體表現(xiàn)在簡單的圖可以看懂,復(fù)雜的圖不會(huì)分析,電路板上的電路看不懂,不會(huì)分析。但對(duì)于實(shí)際操作,如電路板的焊接,學(xué)生比較感興趣。通過一年級(jí)的學(xué)習(xí),學(xué)生掌握了基本焊接技能。為了更好地達(dá)到教學(xué)目標(biāo)、激發(fā)學(xué)生的學(xué)習(xí)興趣、充分掌握Protel 2004技能,本課程以實(shí)訓(xùn)室為第一課堂,邊教、邊學(xué)、邊做,實(shí)現(xiàn)能力培養(yǎng)、素質(zhì)提高和教學(xué)一體化等效果。采用理論講解與實(shí)踐相結(jié)合,以“講做講”和“做講做”的形式,讓學(xué)生在“做中教、做中學(xué)”,達(dá)到預(yù)期的教學(xué)目標(biāo)。

二、結(jié)合基礎(chǔ)課程,激發(fā)學(xué)生學(xué)習(xí)興趣

興趣是最好的老師,從第一節(jié)課開始筆者就展示了Protel 2004的功能。筆者結(jié)合了學(xué)生在《電子技術(shù)基礎(chǔ)》課程中進(jìn)行焊接過的電路的萬能板制成了PCB,讓學(xué)生比較PCB板和萬能板的區(qū)別。通過比較,大部分學(xué)生都覺得PCB板好看實(shí)用,容易焊接,一節(jié)課下來學(xué)生覺得用Protel 2004制圖軟件繪制電路圖和PCB板既方便又美觀,引起了學(xué)生的求知欲望,同時(shí)也降低了學(xué)習(xí)的難度,為今后的教學(xué)、學(xué)習(xí)奠定了很好的基礎(chǔ)。以后的每一節(jié)課筆者都從學(xué)生感興趣的電路進(jìn)行備課和講解,這樣就激發(fā)了學(xué)生學(xué)習(xí)的興趣,為教學(xué)效果打下了良好的基礎(chǔ)。

三、打破傳統(tǒng)教學(xué)模式, 降低學(xué)生學(xué)習(xí)難度

傳統(tǒng)教學(xué)模式的教學(xué)組織過程很注重知識(shí)的系統(tǒng)性,知識(shí)的完整性,但在實(shí)際教學(xué)中這種全面性的教學(xué)方法效果并不理想。本課程是要求學(xué)生掌握電路原理圖繪制的基本方法與技巧,掌握印制電路板設(shè)計(jì)的操作步驟,培養(yǎng)學(xué)生印制電路板設(shè)計(jì)制作的基本技能和相關(guān)知識(shí)。根據(jù)中職生的特點(diǎn)和本課程的要求,筆者以書本的知識(shí)點(diǎn)為主線,按照項(xiàng)目式的教學(xué)方法,制作了適合學(xué)生的課件和練習(xí)。目前市面上很多Protel 2004的教材都注重知識(shí)的全面性,對(duì)操作步驟進(jìn)行詳細(xì)講解,但在實(shí)際操作中往往很多應(yīng)用不到。如果全面講解會(huì)造成學(xué)生的困惑,所以在針對(duì)操作步驟講解時(shí),只要求學(xué)生熟練掌握其中一種常用技巧即可,避免面面俱到。例如,在講解放置元器件時(shí),有三種方法可以放置:一是通過輸入元器件名放置元器件;二是從元器件管理器的元器件列表中選取放置; 三是使用常用元器件工具命令放置元器件。在平時(shí)繪制電路圖應(yīng)用最廣泛的是第二種方法,所以在課堂講解放置元器件時(shí),筆者先講解第二種方法,學(xué)生熟練掌握后才略講了后面兩種方法。這樣就降低了學(xué)生學(xué)習(xí)的難度,讓學(xué)生避免進(jìn)入糊涂陣。

四、采用項(xiàng)目式教學(xué)方法,讓學(xué)生學(xué)得充實(shí)

對(duì)于項(xiàng)目式教學(xué)方法,教材中所涉及的練習(xí)很少,而Protel 2004是一門實(shí)踐性和操作性很強(qiáng)的學(xué)科,教師不能滿足于教材的課后練習(xí)。結(jié)合我校的情況,筆者在學(xué)生上機(jī)練習(xí)中結(jié)合《電子技術(shù)基礎(chǔ)》課程中學(xué)生進(jìn)行焊接過的電路進(jìn)行項(xiàng)目訓(xùn)練。包括循環(huán)彩燈電路、聲控開關(guān)、振動(dòng)報(bào)警器、功放電路、聲控LED、三端集成穩(wěn)壓等電路。在進(jìn)行項(xiàng)目練習(xí)時(shí),由淺入深講解和練習(xí),比如在做原理圖的練習(xí)時(shí),先讓學(xué)生練習(xí)只由常用元件中的元件組成的電路循環(huán)彩燈電路。通過對(duì)循環(huán)彩燈電路的繪制,學(xué)生掌握了原理圖的基本繪制方法和元件庫管理器面板的基本實(shí)用方法。接著讓學(xué)生練習(xí)由常用元件和常用連接元件庫組成的電路端集成穩(wěn)壓電路,最后才讓學(xué)生練習(xí)由其他元件庫組成的電路。這樣通過由淺入深的方法,讓學(xué)生掌握了原理圖的繪制基本方法和技巧,學(xué)生在此過程中會(huì)感覺更充實(shí),目標(biāo)更明確。

第2篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

【關(guān)鍵詞】 電子實(shí)習(xí) 新模式 Altium Designer

1 引言

隨著計(jì)算機(jī)技術(shù)的飛速發(fā)展,計(jì)算機(jī)輔助設(shè)計(jì)在現(xiàn)代電子技術(shù)的發(fā)展和應(yīng)用中扮演了非常重要的角色。大學(xué)生是未來科技文明發(fā)展的主力,因此在理工科大學(xué)生的電子實(shí)習(xí)課程中引入計(jì)算機(jī)輔助設(shè)計(jì)教學(xué)是時(shí)展的必然。電路設(shè)計(jì)與仿真方面的計(jì)算機(jī)輔助設(shè)計(jì)軟件種類很多,其中Protel設(shè)計(jì)軟件在我國擁有眾多的用戶,其升級(jí)版本為Altium Designer,功能更加強(qiáng)大,所以我校選擇該軟件作為電子實(shí)習(xí)課程的計(jì)算機(jī)輔助設(shè)計(jì)教學(xué)軟件。該軟件簡單易學(xué),具有常用的電路圖設(shè)計(jì)功能、電路仿真功能和電路板設(shè)計(jì)功能,還集成了FPGA設(shè)計(jì)開發(fā)功能,并且兼容以前各個(gè)版本。

2 在電子實(shí)習(xí)中引入Altium Designer教學(xué)的具體實(shí)現(xiàn)方法

2.1 電子實(shí)習(xí)的流程

我校電子實(shí)習(xí)采用學(xué)生自主選題的方式,即由老師提供多個(gè)電路,如表1所示。學(xué)生根據(jù)自己的專業(yè)和興趣進(jìn)行選擇,對(duì)于基礎(chǔ)好的學(xué)生,允許其自立課題。學(xué)生對(duì)所選擇的電路進(jìn)行電路仿真、PCB設(shè)計(jì)與制作、電路焊接和調(diào)試,并最終制作成功一個(gè)產(chǎn)品。電路分為模擬部分和數(shù)字部分,學(xué)生主要學(xué)習(xí)模擬部分的仿真與設(shè)計(jì)。本文將以“紅外線心律計(jì)”產(chǎn)品的模擬電路部分為例介紹Altium Designer軟件的具體應(yīng)用。

2.2 用Altium Designer軟件進(jìn)行電路原理圖設(shè)計(jì)與仿真

使用Altium Designer軟件可以方便地進(jìn)行模擬電路的設(shè)計(jì)與仿真。采用計(jì)算機(jī)模擬仿真可以隨時(shí)修改元器件的參數(shù),隨時(shí)觀察仿真結(jié)果,縮短產(chǎn)品的開發(fā)周期。

(1)電路原理圖的設(shè)計(jì)。紅外線心律計(jì)的模擬電路部分由傳感器電路、放大電路、濾波電路、整形電路組成。作用是由紅外線傳感器采集心跳信號(hào),經(jīng)過信號(hào)調(diào)理電路輸出幅度足夠大的方波信號(hào),供后續(xù)的數(shù)字電路進(jìn)行處理。

原理圖的設(shè)計(jì)是電路仿真和設(shè)計(jì)電路板的基礎(chǔ),也是初學(xué)該軟件的難點(diǎn)。主要有以下幾個(gè)步驟,如表2。

在實(shí)踐教學(xué)中,重點(diǎn)是針對(duì)學(xué)生經(jīng)常會(huì)犯錯(cuò)誤的操作進(jìn)行講解,有如下幾個(gè)方面:

一是準(zhǔn)確找到所需的元器件。教學(xué)中把常用元件所在的元件庫和元件名稱做在PPT的表格中,方便學(xué)生查找元器件。二是正確連接元器件之間的導(dǎo)線。要求學(xué)生必須把導(dǎo)線連接到元器件引腳的頂端,或者元器件之間的連接采用管腳對(duì)管腳的連接方式,防止電路開路。三是正確標(biāo)注元器件的參數(shù)。在元器件的“Value”選項(xiàng),正確標(biāo)注該元器件的參數(shù)值,單位為國際標(biāo)準(zhǔn)單位。四是排除電氣檢查的錯(cuò)誤?!癊RC”檢查會(huì)發(fā)現(xiàn)原理圖中隱藏著的“BUG”,其中的“Error”必須排除,部分“Warning”可以忽略。

(2)原理圖仿真。原理圖繪制完成后,通過反復(fù)修改參數(shù)并仿真來達(dá)到設(shè)計(jì)的要求。傳感器上得到的信號(hào)一般為10mV左右,放大器的設(shè)計(jì)要求的放大倍數(shù)在1000倍左右。濾波器的設(shè)計(jì)要求截至頻率為10Hz左右。比較器的設(shè)計(jì)要求為能夠輸出占空比為50%左右的方波信號(hào)。仿真時(shí),在電路的輸入端加入10mV、1Hz的正弦波激勵(lì)源,整個(gè)電路的工作電壓為±12V。通過仿真觀察各個(gè)輸出點(diǎn)的波形,經(jīng)過不斷的調(diào)整,下圖的參數(shù)能夠滿足設(shè)計(jì)的要求,如圖1。

圖2為各個(gè)主要點(diǎn)的瞬態(tài)仿真波形。第一個(gè)為激勵(lì)信號(hào)的波形,第二個(gè)為放大后的波形,第三個(gè)是低通濾波后的波形,第四個(gè)是整型后的方波,該方波接到后續(xù)的數(shù)字電路。

2.3 用Altium Designer軟件進(jìn)行電路板設(shè)計(jì)

經(jīng)過仿真驗(yàn)證的原理圖經(jīng)過設(shè)計(jì)成為能夠焊接元器件的電路板文件,實(shí)現(xiàn)了虛擬電路到真實(shí)電路板的轉(zhuǎn)變。一般有以下幾個(gè)步驟,如表3。

電路板的設(shè)計(jì)工作比較復(fù)雜,因此在課程中選擇了較為簡單的模擬部分進(jìn)行設(shè)計(jì),而且電路板是在實(shí)驗(yàn)室通過手工制作,所以在教學(xué)中,有針對(duì)性地對(duì)以下幾個(gè)知識(shí)點(diǎn)做重點(diǎn)介紹:

一是導(dǎo)入元器件時(shí)的錯(cuò)誤。原因是原理圖繪制有誤,返回原理圖修改對(duì)應(yīng)的錯(cuò)誤。二是元器件的排版和布線規(guī)則的設(shè)定。按照信號(hào)的流程從左往右排版,元器件排列均勻緊湊、美觀。為了方便制板和焊接,電器間距值大于0.5mm,信號(hào)線粗0.5mm,電源線和接地線加粗到0.6mm―1mm,焊盤直徑加大到1.6―2mm,電路板規(guī)劃成大小合適的長方形,采用頂層布線、自動(dòng)布線和手工布線相結(jié)合的方式。三是設(shè)計(jì)規(guī)則檢查?!癉RC”檢查中的錯(cuò)誤要認(rèn)真排除,比如網(wǎng)絡(luò)名稱不同的導(dǎo)線不能交叉;沒有導(dǎo)線連接的焊盤要仔細(xì)檢查是否有誤。

圖3是設(shè)計(jì)完成的電路板圖紙:

2.4 電路板的制作與調(diào)試

(1)電路板的制作。在實(shí)驗(yàn)室里采用手工制作電路板的方式,具有快速、便宜、方便的特點(diǎn),滿足簡單電路設(shè)計(jì)調(diào)試的要求。一般經(jīng)過如下幾個(gè)步驟:

下圖為焊接完畢的電路板,如圖4。

(2)電路板的調(diào)試。電路板完成焊接后,進(jìn)入調(diào)試環(huán)節(jié)。通入±12V的電壓,在輸入端接信號(hào)發(fā)生器產(chǎn)生的信號(hào)(或者接傳感器),通過測試仿真時(shí)各個(gè)點(diǎn)的波形,驗(yàn)證了仿真結(jié)果與實(shí)際電路的測試結(jié)果相吻合。

3 結(jié)語

在電子實(shí)習(xí)中引入Altium Designer軟件教學(xué),不光使學(xué)生掌握了一種EDA軟件的使用,更重要的是學(xué)習(xí)到了電路圖的設(shè)計(jì)方法和電路板的設(shè)計(jì)方法,并與電子產(chǎn)品的設(shè)計(jì)緊密結(jié)合,為學(xué)生在以后的課程學(xué)習(xí)和工作上都有所幫助。

參考文獻(xiàn):

第3篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

【關(guān)鍵詞】EDA技術(shù) 發(fā)展背景 電子線路設(shè)計(jì) 應(yīng)用分析

一、前言

作為現(xiàn)代電子設(shè)計(jì)技術(shù)的核心,EDA(Electronic Design Automation)技術(shù)是以硬件描述語言HDL(Hardware Description Language)為系統(tǒng)邏輯描述的主要表達(dá)方式,以可編程器件PLD(Programmable Logic Device)為實(shí)驗(yàn)載體,依賴功能強(qiáng)大的計(jì)算機(jī),在EDA工具軟件平臺(tái)上,自動(dòng)的完成邏輯編譯,邏輯化簡,邏輯分割,邏輯綜合,結(jié)構(gòu)綜合(布局布線)以及邏輯優(yōu)化和仿真測試,直至實(shí)現(xiàn)既定的電子線路系統(tǒng)功能。EDA技術(shù)的應(yīng)用使得設(shè)計(jì)者的工作僅限于利用硬件描述語言和EDA軟件平臺(tái)來完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn),極大的提高了設(shè)計(jì)效率,縮短了設(shè)計(jì)周期,節(jié)省了設(shè)計(jì)成本。EDA技術(shù)涉及面廣,內(nèi)容豐富,融合了的微電子、電路系統(tǒng)、計(jì)算機(jī)應(yīng)用等多個(gè)學(xué)科。EDA技術(shù)的本質(zhì)是電子產(chǎn)品的自動(dòng)化設(shè)計(jì)過程,其相關(guān)設(shè)定分別如下:工作平臺(tái)為計(jì)算機(jī),設(shè)計(jì)語言為硬件描述語言,實(shí)驗(yàn)載體為可編程器件,應(yīng)用方向?yàn)殡娮酉到y(tǒng)設(shè)計(jì)。在電子線路設(shè)計(jì)中應(yīng)用EDA技術(shù)可實(shí)現(xiàn)一體化設(shè)計(jì),周期時(shí)間大幅度縮短,設(shè)計(jì)效率得到進(jìn)一步提升。因此,對(duì)EDA技術(shù)在電子線路設(shè)計(jì)中的應(yīng)用進(jìn)行分析,對(duì)于EDA技術(shù)的現(xiàn)代應(yīng)用和電子線路設(shè)計(jì)的長足發(fā)展有著積極的現(xiàn)實(shí)意義。

二、EDA技術(shù)的產(chǎn)生背景與內(nèi)容

電子設(shè)計(jì)自動(dòng)化的簡稱就是EDA技術(shù),因?yàn)楝F(xiàn)代社會(huì)計(jì)算機(jī),集成電路和電子系統(tǒng)的高速發(fā)展,所以電子設(shè)計(jì)技術(shù)就應(yīng)運(yùn)而生了,他的出現(xiàn)可以提高人們對(duì)于電子電路系統(tǒng)設(shè)計(jì)的能力,這種技術(shù)對(duì)于應(yīng)用電子技術(shù),計(jì)算機(jī)技術(shù)和智能化技術(shù)都有集成,所以能夠?qū)τ诟鞣N電子通信方面的設(shè)計(jì)進(jìn)行輔助的設(shè)計(jì),目前來看,該項(xiàng)技術(shù)主要是對(duì)于IC的設(shè)計(jì),電子線路的設(shè)計(jì)以及PCB板的設(shè)計(jì)起到了一定的作用,而且在日常運(yùn)用的范圍較廣,當(dāng)前,因?yàn)殡娮蛹夹g(shù)和計(jì)算機(jī)技術(shù)對(duì)其的推進(jìn)作用,所以在國家的各個(gè)行業(yè)都有了大量的應(yīng)用,比如國防,昂天,儀器儀表,工業(yè)自動(dòng)化等等,該項(xiàng)技術(shù)正在以驚人的速度發(fā)展,逐漸變成了當(dāng)今電子技術(shù)發(fā)展的前沿。

(一)EDA技術(shù)的產(chǎn)生背景

上世紀(jì)后半期,計(jì)算機(jī)和集成電路迅速發(fā)展起來,電子技術(shù)面臨著新的機(jī)遇和嚴(yán)峻的考驗(yàn)。因電子技術(shù)周期不斷縮短,其與專用集成電路設(shè)計(jì)難度日益提升間的矛盾日益加劇。這一形勢下,就需要應(yīng)用高層次的設(shè)計(jì)工具和新的設(shè)計(jì)方法來解決這一問題,而EDA技術(shù)就是在這一現(xiàn)實(shí)背景下應(yīng)運(yùn)而生的。

(二)EDA技術(shù)的內(nèi)容

EDA技術(shù)主要包括四方面內(nèi)容:第一,可編程邏輯器件(大規(guī)模);第二,硬件描述語言;第三,軟件研發(fā)工具;第四,試驗(yàn)開發(fā)系統(tǒng)。EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)的應(yīng)用過程當(dāng)中,其四方面內(nèi)容依次扮演著載體、表達(dá)手段、設(shè)計(jì)工具、下載與硬件驗(yàn)證工具。

三、EDA技術(shù)的發(fā)展

回顧自20實(shí)際90年代初到如今近30年電子設(shè)計(jì)技術(shù)的發(fā)展歷程,EDA工具的發(fā)展經(jīng)歷大致可劃分為三個(gè)階段:計(jì)算機(jī)輔助設(shè)計(jì)(CAD),計(jì)算機(jī)輔助工程(CAE)和電子設(shè)計(jì)自動(dòng)化(EDA)。

(一)計(jì)算機(jī)輔助設(shè)計(jì)CAD(Computer Aided Design)階段

20世紀(jì)70年代是EDA技術(shù)發(fā)展的初期階段,人們開始使用計(jì)算機(jī)輔助進(jìn)行IC版圖編輯和PCB布局布線,使設(shè)計(jì)者從繁瑣,重負(fù)的計(jì)算和繪圖中解脫出來,由于PCB布局布線工具受到計(jì)算機(jī)工作平臺(tái)的制約,其支持的設(shè)計(jì)工作有限且性能較差。

(二)計(jì)算機(jī)輔助工程設(shè)計(jì)CAE(Computer Aided Engineering)階段

20世紀(jì)80年代為CAE階段,此時(shí)EDA工具主要以邏輯模擬,定時(shí)分析,故障仿真,自動(dòng)布局和布線為核心,如果說CAD工具代替了設(shè)計(jì)工作中繪圖的重復(fù)勞動(dòng),則CAE工具則代替了設(shè)計(jì)師的部分工作。然而,大部分從原理圖出發(fā)的EDA工具仍不能滿足復(fù)雜電子系統(tǒng)的設(shè)計(jì)要求。

(三)電子設(shè)計(jì)自動(dòng)化EDA(Electronic Design Automation)階段

20世界90年代,設(shè)計(jì)工程師逐步從使用硬件轉(zhuǎn)向設(shè)計(jì)硬件,從單個(gè)電子產(chǎn)品開發(fā)轉(zhuǎn)向系統(tǒng)級(jí)電子產(chǎn)品開發(fā),即片上系統(tǒng)集成。這時(shí)的EDA工具不僅具有電子系統(tǒng)設(shè)計(jì)的能力,而且能提供獨(dú)立于工藝和廠家的系統(tǒng)級(jí)設(shè)計(jì)能力,具有高級(jí)抽象的設(shè)計(jì)構(gòu)思手段??梢哉f,20世紀(jì)90年代EDA技術(shù)的發(fā)展是電子電路設(shè)計(jì)的革命。

四、EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)中的理論應(yīng)用

(一)EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)中的應(yīng)用優(yōu)勢

在電子系統(tǒng)設(shè)計(jì)中應(yīng)用EDA技術(shù),使得設(shè)計(jì)人員不必通過門級(jí)原理圖來對(duì)電路進(jìn)行描述,而只需對(duì)設(shè)計(jì)目標(biāo)功能作出描述。電路細(xì)節(jié)方面的的束縛得以擺脫,設(shè)計(jì)人員能夠?qū)⒏嗑Ψ旁诟拍顦?gòu)思和創(chuàng)造性方案上。而當(dāng)通過高層次描述將這些概念構(gòu)思輸入計(jì)算機(jī)后,EDA技術(shù)便可以規(guī)則驅(qū)動(dòng)形式來實(shí)現(xiàn)整個(gè)設(shè)計(jì)的自動(dòng)完成。這樣,新概念能夠有效迅速地轉(zhuǎn)化為產(chǎn)品,產(chǎn)品研制周期大大縮短。

(二)EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)中的基本應(yīng)用步驟

高層次設(shè)計(jì)法是EDA技術(shù)在電子系統(tǒng)設(shè)計(jì)應(yīng)用中的有效形式,其基本步驟如下:第一,通過“自上而下”形式的設(shè)計(jì)手段來劃分系統(tǒng);第二,完成VHDL代碼的輸入,并應(yīng)用圖形法來EDA實(shí)驗(yàn)室進(jìn)行仿真輸入;第三,對(duì)設(shè)計(jì)輸入做編譯處理,使其轉(zhuǎn)化為VHDL標(biāo)準(zhǔn)文件;第四,采用仿真器來優(yōu)化處理VHDL源代碼,進(jìn)而生成網(wǎng)表文件;第五,參考網(wǎng)表文件,應(yīng)用適配器件來對(duì)對(duì)具體目標(biāo)器件做邏輯映射操作;第六,經(jīng)下載電纜或編程器來講器件編程文件載入目標(biāo)芯片中,如需更換綜合庫,只需通過ASIC的形式即可完成。

五、EDA技術(shù)在電子線路設(shè)計(jì)中的現(xiàn)實(shí)應(yīng)用

(一)分頻器的設(shè)計(jì)要求

分頻器是基本的電子線路,依據(jù)設(shè)計(jì)的不同要求,通常會(huì)遇到半整數(shù)分頻、整數(shù)分頻等,等占空比、非等占空比也會(huì)成為設(shè)計(jì)有時(shí)的要求。同一設(shè)計(jì)中,多種形式的分頻要求也往往存在。鑒于EDA技術(shù)的設(shè)計(jì)應(yīng)用,本文將設(shè)計(jì)目標(biāo)定位基準(zhǔn)信號(hào)整數(shù)分頻的實(shí)現(xiàn)。

(二)分頻器的設(shè)計(jì)思路

假設(shè)系統(tǒng)輸入信號(hào)為時(shí)鐘信號(hào),分別設(shè)定其頻率、周期、占空比為60MHZ、20微秒、30%。之后將輸入信號(hào)視作敏感信號(hào),并進(jìn)行4分頻處理,這就就得出相應(yīng)的輸出信號(hào)。同時(shí),設(shè)置一個(gè)復(fù)位信號(hào)于另外系統(tǒng)中,并配備相應(yīng)計(jì)數(shù)器,隨之融入進(jìn)程中即可實(shí)現(xiàn)設(shè)計(jì)目標(biāo)。

(三)分頻器的設(shè)計(jì)實(shí)現(xiàn)

分頻器的設(shè)計(jì)實(shí)現(xiàn)分六步來進(jìn)行,第一步,找到應(yīng)許程序中的QuartusII標(biāo)志,將其打開;第二步,進(jìn)行新工程項(xiàng)目的建立。在已有工程項(xiàng)目完成的情況下,作“Open Existing Project”的單擊處理,并對(duì)項(xiàng)目保存路徑進(jìn)行選擇。這里,即可應(yīng)用原有文件夾,也可建立新文件夾,隨之輸入相應(yīng)的項(xiàng)目名稱,便可在項(xiàng)目中完成文件的加載。之后,進(jìn)行FPGA芯片的選擇,以試驗(yàn)箱芯片型號(hào)為依據(jù)來作出選擇,并通過對(duì)芯片封裝、引腳數(shù)、速度三欄自上而下的選擇,來將芯片選擇范圍進(jìn)一步縮小。完成芯片選擇后,來對(duì)所需調(diào)用的EDA工具作出選擇,因本文不涉及調(diào)動(dòng),故可直接點(diǎn)擊下一步,待出現(xiàn)工程對(duì)話框后,點(diǎn)擊完成即完成本步操作;第三步,建立硬件描述語言文件。單擊工具欄File菜單欄正下方的New圖標(biāo),輸入已經(jīng)編寫好的語言程序于程序輸入框內(nèi)。待輸入完畢后,加以保存并確定文件名(文件名應(yīng)與硬件描述語文和工程名中的模塊名相一致)。這時(shí),單擊工具欄中編譯圖標(biāo),如無錯(cuò)誤,電機(jī)確定即可,如彈出警告信息,其信息中對(duì)設(shè)計(jì)問題有相應(yīng)的說明;第四步,建立仿真波形圖。類比于上一步驟,不同之處,在于選擇“New”中的波形文件,雙擊其下空白處,進(jìn)入到時(shí)序仿真端口當(dāng)中,單機(jī)“OK”即完成仿真端口的選擇;第五步,仿真。在菜單欄中對(duì)仿真截止時(shí)間進(jìn)行設(shè)置,通常情況下位20微秒。之后,進(jìn)行輸入的設(shè)置,在時(shí)鐘對(duì)話框中對(duì)起始時(shí)間、周期、結(jié)束時(shí)間進(jìn)行設(shè)置。最后對(duì)低電平或高電平數(shù)據(jù)范圍進(jìn)行選擇,完成后保存,且注意應(yīng)保持波形文件同模塊名、項(xiàng)目名的一致性;第六步,編譯。對(duì)仿真波形圖進(jìn)行編譯,使其每隔四個(gè)時(shí)鐘周期,能夠在輸出端得到等占空比的四分頻波形。之后,改變占空比,或?qū)τ?jì)數(shù)器技術(shù)狀態(tài)值作出稍微改變,多種形式分頻隨即實(shí)現(xiàn)。

六、結(jié)束語

通過論述EDA技術(shù)在電子線路設(shè)計(jì)中的現(xiàn)實(shí)應(yīng)用,可以看出,EDA技術(shù)簡化了繁瑣的設(shè)計(jì)工作,表現(xiàn)出較好的應(yīng)用效果,能夠滿足電子線路的設(shè)計(jì)要求。21世紀(jì)是EDA技術(shù)的發(fā)展高速期,其應(yīng)用正在朝著數(shù)?;旌想娐泛湍M電路的方向邁進(jìn),EDA技術(shù)必將突破電子設(shè)計(jì)范疇,來進(jìn)入其他領(lǐng)域。且隨著EDA技術(shù)設(shè)計(jì)應(yīng)用的日益成熟,其定將在設(shè)計(jì)領(lǐng)域得到更為廣泛的應(yīng)用。

參考文獻(xiàn):

[1] 邱軍興.EDA技術(shù)在電路設(shè)計(jì)中的地位和作用[J].西安文理學(xué)院學(xué)報(bào).2009(8).

[2] 賈民力.EDA仿真技術(shù)在電子線路設(shè)計(jì)中的應(yīng)用[J].青海大學(xué)學(xué)報(bào).2009(23).

[3] 崔葛.基于FPGA的數(shù)字電路系統(tǒng)設(shè)計(jì)[M].西安:電子科技大學(xué)出版社.2008 .

[4] 潘松.黃繼業(yè).EDA技術(shù)與VHDL(第3版)[M].北京:清華大學(xué)出版社.2009.(9).

[5] 馬楠.周焱.EDA在射頻電子電路設(shè)計(jì)中的應(yīng)用[J].山西電子技術(shù).2005(2).

[6] 王樹昆等.EDA仿真環(huán)境的研究與應(yīng)用[J].山東省青年管理干部學(xué)院學(xué)報(bào).2006(1).

[7] 潘松.黃繼業(yè).EDA技術(shù)與VHDL(第3版)[M].北京:清華大學(xué)出版社.2009.(9).

[8] 王平.EDA技術(shù)的電子系統(tǒng)設(shè)計(jì)[J].中國科技博覽.2011(38).

[9] 杜玉遠(yuǎn).EDA設(shè)計(jì)快速入門[J].電子世界.2004.

[10] 路而紅.電子設(shè)計(jì)自動(dòng)化應(yīng)用技術(shù)[M].北京:高等教育出版社.2006.

[11] 徐宏慶.電子線路設(shè)計(jì)中仿真設(shè)計(jì)軟件的應(yīng)用[J]..中國現(xiàn)代教育裝備.2010(2).

[12] 高有華,龔淑秋,李忠波.基于EDA電子線路的仿真研究[J].沈陽工業(yè)大學(xué)學(xué)報(bào).2002(4).

第4篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

關(guān)鍵詞EDA技術(shù);電子工程

1EDA技術(shù)的基本概念

EDA是電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation)的縮寫,是從CAD(計(jì)算機(jī)輔助設(shè)計(jì))、CAM(計(jì)算機(jī)輔助制造)、CAT(計(jì)算機(jī)輔助測試)和CAE(計(jì)算機(jī)輔助工程)的概念發(fā)展而來的。EDA技術(shù)是以計(jì)算機(jī)為工具,集數(shù)據(jù)庫、圖形學(xué)、圖論與拓?fù)溥壿?、?jì)算數(shù)學(xué)、優(yōu)化理論等多學(xué)科最新理論于一體,是計(jì)算機(jī)信息技術(shù)、微電子技術(shù)、電路理論、信息分析與信號(hào)處理的結(jié)晶。

2EDA技術(shù)的發(fā)展過程

EDA技術(shù)的發(fā)展過程反映了近代電子產(chǎn)品設(shè)計(jì)技術(shù)的一段歷史進(jìn)程,大致分為3個(gè)時(shí)期。

1)初級(jí)階段:早期階段即是CAD(Computer Assist Design)階段,大致在20世紀(jì)70年代,當(dāng)時(shí)中小規(guī)模集成電路已經(jīng)出現(xiàn),傳統(tǒng)的手工制圖設(shè)計(jì)印刷電路板和集成電路的方法效率低、花費(fèi)大、制造周期長。人們開始借助于計(jì)算機(jī)完成印制電路板一PCB設(shè)計(jì),將產(chǎn)品設(shè)計(jì)過程中高重復(fù)性的繁雜勞動(dòng)如布圖布線工作用二維平面圖形編輯與分析的CAD工具代替,主要功能是交互圖形編輯,設(shè)計(jì)規(guī)則檢查,解決晶體管級(jí)版圖設(shè)計(jì)、PCB布局布線、門級(jí)電路模擬和測試。

2)發(fā)展階段:20世紀(jì)80年代是EDA技術(shù)的發(fā)展和完善階段,即進(jìn)入到CAE(Computer Assist Engineering Design)階段。由于集成電路規(guī)模的逐步擴(kuò)大和電子系統(tǒng)的日趨復(fù)雜,人們進(jìn)一步開發(fā)設(shè)計(jì)軟件,將各個(gè)CAD工具集成為系統(tǒng),從而加強(qiáng)了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),該時(shí)期的EDA技術(shù)已經(jīng)延伸到半導(dǎo)體芯片的設(shè)計(jì),生產(chǎn)出可編程半導(dǎo)體芯片。

3)成熟階段:20世紀(jì)90年代以后微電子技術(shù)突飛猛進(jìn),一個(gè)芯片上可以集成幾百萬、幾千萬乃至上億個(gè)晶體管,這給EDA技術(shù)提出了更高的要求,也促進(jìn)了EDA技術(shù)的大發(fā)展。各公司相繼開發(fā)出了大規(guī)模的EDA軟件系統(tǒng),這時(shí)出現(xiàn)了以高級(jí)語言描述、系統(tǒng)級(jí)仿真和綜合技術(shù)為特征的EDA技術(shù)。

3EDA技術(shù)的特點(diǎn)

EDA技術(shù)代表了當(dāng)今電子設(shè)計(jì)技術(shù)的最新發(fā)展方向,它的基本特征是采用高級(jí)語言描述,即硬件描述語言HDL(Hardware Description Language),就是可以描述硬件電路的功能。信號(hào)連接關(guān)系及定時(shí)關(guān)系的語言。它比電原理圖更有效地表示硬件電路的特性,同時(shí)具有系統(tǒng)仿真和綜合能力,具體歸納為以下幾點(diǎn):

1)現(xiàn)代化EDA技術(shù)大多采用“自頂向下(Top-Down)”的設(shè)計(jì)程序,從而確保設(shè)計(jì)方案整體的合理和優(yōu)化,避免“自底向上(Bottom-up)”設(shè)計(jì)過程使局部優(yōu)化,整體結(jié)構(gòu)較差的缺陷。

2)HDL給設(shè)計(jì)帶來很多優(yōu)點(diǎn):①語言公開可利用;②語言描述范圍寬廣;③使設(shè)計(jì)與工藝無關(guān);④可以系統(tǒng)編程和現(xiàn)場編程,使設(shè)計(jì)便于交流、保存、修改和重復(fù)使用,能夠?qū)崿F(xiàn)在線升級(jí)。

3)自動(dòng)化程度高,設(shè)計(jì)過程中隨時(shí)可以進(jìn)行各級(jí)的仿真、糾錯(cuò)和調(diào)試,使設(shè)計(jì)者能早期發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上的錯(cuò)誤,避免設(shè)計(jì)工作的浪費(fèi),同時(shí)設(shè)計(jì)人員可以拋開一些具體細(xì)節(jié)問題,從而把主要精力集中在系統(tǒng)的開發(fā)上,保證設(shè)計(jì)的高效率、低成本,且產(chǎn)品開發(fā)周期短、循環(huán)快。

4)可以并行操作,現(xiàn)代EDA技術(shù)建立了并行工程框架結(jié)構(gòu)的工作環(huán)境。從而保證和支持多人同時(shí)并行地進(jìn)行電子系統(tǒng)的設(shè)計(jì)和開發(fā)。

4EDA技術(shù)的作用

EDA技術(shù)在電子工程設(shè)計(jì)中發(fā)揮著不可替代的作用,主要表現(xiàn)在以下幾個(gè)方面:

4.1驗(yàn)證電路設(shè)計(jì)方案的正確性

設(shè)計(jì)方案確定之后,首先采用系統(tǒng)仿真或結(jié)構(gòu)模擬的方法驗(yàn)證設(shè)計(jì)方案的可行性,這只要確定系統(tǒng)各個(gè)環(huán)節(jié)的傳遞函數(shù)(數(shù)學(xué)模型)便可實(shí)現(xiàn)。這種系統(tǒng)仿真技術(shù)可推廣應(yīng)用于非電專業(yè)的系統(tǒng)設(shè)計(jì),或某種新理論、新構(gòu)思的設(shè)計(jì)方案。仿真之后對(duì)構(gòu)成系統(tǒng)的各電路結(jié)構(gòu)進(jìn)行模擬分析,以判斷電路結(jié)構(gòu)設(shè)計(jì)的正確性及性能指標(biāo)的可實(shí)現(xiàn)性。這種量化分析方法對(duì)于提高工程設(shè)計(jì)水平和產(chǎn)品質(zhì)量,具有重要的指導(dǎo)意義。

4.2電路特性的優(yōu)化設(shè)計(jì)

元器件的容差和工作環(huán)境溫度將對(duì)電路的穩(wěn)定性產(chǎn)生影響。傳統(tǒng)的設(shè)計(jì)方法很難對(duì)這種影響進(jìn)行全面的分析,也就很難實(shí)現(xiàn)整體的優(yōu)化設(shè)計(jì)。EDA技術(shù)中的溫度分析和統(tǒng)計(jì)分析功能可以分析各種溫度條件下的電路特性,便于確定最佳元件參數(shù)、最佳電路結(jié)構(gòu)以及適當(dāng)?shù)南到y(tǒng)穩(wěn)定裕度,真正做到優(yōu)化設(shè)計(jì)。

4.3實(shí)現(xiàn)電路特性的模擬測試

電子電路設(shè)計(jì)過程中,大量的工作是數(shù)據(jù)測試和特性分析。但是受測試手段和儀器精度所限,測試問題很多。采用EDA技術(shù)后,可以方便地實(shí)現(xiàn)全功能測試。

5EDA技術(shù)的軟件

目前EDA技術(shù)的軟件很多,如EWB、PROTELL等。

1)EWB(Electronics Workbench)軟件。EWB是基于PC平臺(tái)的電子設(shè)計(jì)軟件,由加拿大Interactive Image Technologies Ltd.公司研制開發(fā),該軟件具有以下特點(diǎn):①集成化工具:一體化設(shè)計(jì)環(huán)境可將原理圖編輯、SPICE仿真和波形分析、仿真電路的在線修改、選用虛擬儀器、借助14種分析工具輸出結(jié)果等操作在一個(gè)集成系統(tǒng)中完成。②仿真器:交互式32位SPICE強(qiáng)化支持自然方式的模擬、數(shù)字和數(shù)/模混合元件。自動(dòng)插入信號(hào)轉(zhuǎn)換界面,支持多級(jí)層次化元件的嵌套,對(duì)電路的大小和復(fù)雜沒有限制。只有提供原理圖網(wǎng)絡(luò)表和輸入信號(hào),打開仿真開關(guān)就會(huì)在一定的時(shí)間內(nèi)將仿真結(jié)果輸出。③原理圖輸入:鼠標(biāo)點(diǎn)擊一拖動(dòng)界面,點(diǎn)一點(diǎn)自動(dòng)連線。分層的工作環(huán)境,手工調(diào)整元器件時(shí)自動(dòng)重排線路,自動(dòng)分配元器件的參考編號(hào),對(duì)元器件尺寸大小沒有限制。④分析:虛擬測試設(shè)備能提供快捷、簡單的分析。主要包括直流工作點(diǎn)、瞬態(tài)、交流頻率掃描、付立葉、噪聲、失真度、參數(shù)掃描、零極點(diǎn)、傳遞函數(shù)、直流靈敏度、最差情況、蒙特卡洛法等14種分析工具,可以在線顯示圖形并具有很大的靈活性。⑤設(shè)計(jì)文件夾:同時(shí)儲(chǔ)存所有的設(shè)計(jì)電路信息,包括電路結(jié)構(gòu)、SHCE參數(shù)、所有使用模型的設(shè)置和拷貝。全部存放在一個(gè)設(shè)計(jì)文件中,便于設(shè)計(jì)數(shù)據(jù)共享以及丟失或損壞的數(shù)據(jù)恢復(fù)。⑥接口:標(biāo)準(zhǔn)的SPICE網(wǎng)表,既可以輸入其他CAD生成的SHCE網(wǎng)絡(luò)連接表并行成原理圖供EWB使用,也可以將原理圖輸出到其他PCS工具中直接制作線路板。

2)PROTEL軟件。廣泛應(yīng)用的Protel99主要分為兩大部分:用于電路原理圖的設(shè)計(jì)原理圖設(shè)計(jì)系統(tǒng)(Advanced Schematic)和用于印刷電路板設(shè)計(jì)的印刷電路板設(shè)計(jì)系統(tǒng)(Advanced PCB)。

第5篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

【關(guān)鍵詞】自動(dòng)化技術(shù) 電子信息技術(shù) 應(yīng)用

隨著科學(xué)技術(shù)的提高,我國在工業(yè)技術(shù)上的發(fā)展逐步走向成熟。但是,和發(fā)達(dá)國家相比還是有著不小的差距,自動(dòng)化技術(shù)的應(yīng)用在各個(gè)領(lǐng)域中均有體現(xiàn),其中在電子信息技術(shù)的應(yīng)用中表現(xiàn)得較明顯。

1 電子信息工程技術(shù)的現(xiàn)狀

1.1 電子信息工程的特點(diǎn)

我國電子信息工程是指憑借電子信息技術(shù)來獲取更多信息,通過相關(guān)平臺(tái)實(shí)現(xiàn)智能化處理的技術(shù)。通常情況下,電子信息工程擁有著良好的便捷性和高準(zhǔn)確性,同時(shí)覆蓋范圍較廣,能實(shí)現(xiàn)信息數(shù)據(jù)的批量處理,在加快數(shù)據(jù)處理的同時(shí)提高了數(shù)據(jù)的準(zhǔn)確性。

1.2 電子信息技術(shù)的現(xiàn)狀

當(dāng)前,在應(yīng)用電子信息技術(shù)的過程中,主要存在的問題有以下幾個(gè)方面:

1.2.1 缺乏核心技術(shù)

比較國外電子信息工程,我國電子信息技術(shù)受到多重因素的約束,核心技術(shù)的欠缺是重中之重,尤其是缺乏專利性較強(qiáng)的核心技術(shù)。實(shí)際應(yīng)用中,一些自動(dòng)化技術(shù)的研究不夠深入或者創(chuàng)新性不足,會(huì)給電子信息工程設(shè)計(jì)帶來很大的安全隱患。

1.2.2 缺乏完善的發(fā)展體制

電子信息工程的發(fā)展很容易受到經(jīng)濟(jì)水平和企業(yè)自身的限制,一些企業(yè)在引進(jìn)自動(dòng)化技術(shù)的時(shí)候沒有制定有效的發(fā)展策略,這也會(huì)影響到電子信息技術(shù)的建設(shè)。

2 自動(dòng)化技術(shù)應(yīng)用的重要性

自動(dòng)化技術(shù)作為一種綜合性較強(qiáng)的技術(shù)模式,其不僅融合了現(xiàn)代化計(jì)算機(jī)技術(shù)及信息技術(shù),還融入了控制技術(shù)和系統(tǒng)工程等多種現(xiàn)代化技術(shù)。這給電子信息工程設(shè)計(jì)帶來了很多的發(fā)展平臺(tái),其重要性主要表現(xiàn)有三個(gè)方面:

(1)促進(jìn)了工程設(shè)計(jì)中的機(jī)械化及一體化發(fā)展。自動(dòng)化技術(shù)是我國工業(yè)發(fā)展史中的一個(gè)轉(zhuǎn)折,實(shí)現(xiàn)了以機(jī)械取代人力,解放了生產(chǎn)力。

(2)實(shí)現(xiàn)電子信息技術(shù)的智能化控制。自動(dòng)化技術(shù)的引進(jìn)可以有效地提高電子信息化技術(shù)的信息處理,在開發(fā)的形式、內(nèi)容和途徑方面均可提高電子信息工程的智能化控制。智能化控制可以實(shí)現(xiàn)高密度、大批量以及高頻率的信息處理。

(3)提高精細(xì)化水平。自動(dòng)化技術(shù)的應(yīng)用,融匯了多重技術(shù),向多元化方向發(fā)展。例如,在信號(hào)與系統(tǒng)的設(shè)計(jì)工作中,設(shè)計(jì)者需要掌握和應(yīng)用信號(hào)分析及系統(tǒng)分析等技術(shù),同時(shí)對(duì)技術(shù)精度要求比較高。

3 自動(dòng)化技術(shù)應(yīng)用的主要領(lǐng)域

如上所述,自動(dòng)化技術(shù)有著十分重要的應(yīng)用意義,在諸多應(yīng)用領(lǐng)域中主要表現(xiàn)在計(jì)算機(jī)輔助設(shè)計(jì)、計(jì)算機(jī)輔助制造、計(jì)算機(jī)輔助辦公以及電路分析設(shè)計(jì)幾個(gè)方面。在電路分析設(shè)計(jì)的應(yīng)用環(huán)節(jié)中,自動(dòng)化技術(shù)在三相電路、電感電路以及雙扣網(wǎng)絡(luò)幾個(gè)環(huán)節(jié)的應(yīng)用效果最好。

3.1 計(jì)算機(jī)輔助制造

計(jì)算機(jī)制造作為計(jì)算機(jī)控制的一個(gè)重要構(gòu)成部分,其最高制造水平能全面反映出自動(dòng)化技術(shù)應(yīng)用水平的高低,同時(shí),其發(fā)展?fàn)顩r也能發(fā)映出自動(dòng)化技術(shù)的應(yīng)用狀況。計(jì)算機(jī)輔助制造系統(tǒng)(CAM)包括了硬件及軟件兩部分,前者主要融匯了數(shù)控機(jī)床、加工中心以及輸送、儲(chǔ)存、裝載以及檢測幾個(gè)環(huán)節(jié),后者覆蓋了數(shù)據(jù)庫管理、計(jì)算機(jī)附屬數(shù)控編程、計(jì)算機(jī)相關(guān)工藝的設(shè)計(jì)、工裝設(shè)計(jì)以及質(zhì)量編制幾個(gè)環(huán)節(jié)。二者的應(yīng)用,保證了設(shè)計(jì)的有效性和完善性,同時(shí)在制造工業(yè)和精確度上都有了很大的提高。如圖1所示。

3.2 計(jì)算機(jī)輔助設(shè)計(jì)

當(dāng)前,我國自動(dòng)化技術(shù)廣泛應(yīng)用于計(jì)算機(jī)輔助設(shè)計(jì)(CAD)。在設(shè)計(jì)過程中,CAD應(yīng)用可以有效地實(shí)現(xiàn)自動(dòng)化、微型化、智能化以及數(shù)字化。在實(shí)際應(yīng)用過程中,只需將設(shè)計(jì)文件的相關(guān)參數(shù)輸入計(jì)算機(jī)中,系統(tǒng)通過分析和計(jì)算就能將設(shè)計(jì)結(jié)果呈現(xiàn)在計(jì)算機(jī)上,然后設(shè)計(jì)人員可以根據(jù)設(shè)計(jì)成果進(jìn)行修改,保證設(shè)計(jì)文件的有效性和高效性。在CAD設(shè)計(jì)的眾多應(yīng)用中,EDA技術(shù)應(yīng)用效果較為明顯。此項(xiàng)技術(shù)融合了信息技術(shù)、CAM技術(shù)以及計(jì)算機(jī)技術(shù),在融合中實(shí)現(xiàn)了縱向發(fā)展,目前已經(jīng)應(yīng)用到生物工程、電子信息工程以及通信工程。此外,在電子信息工程應(yīng)用,EAD技術(shù)可以實(shí)現(xiàn)電路設(shè)計(jì)中的仿真設(shè)計(jì),憑借數(shù)據(jù)模型實(shí)現(xiàn)仿真設(shè)計(jì),整體提升了工程設(shè)計(jì)水平。

3.3 計(jì)算機(jī)輔助辦公

在電子信息工程專業(yè)中,輔助辦公的應(yīng)用范圍較為廣泛。輔助辦公通常是指使用微機(jī)及行管外設(shè)通過管理和傳輸來實(shí)現(xiàn)辦公。采用輔助辦公有這三個(gè)特點(diǎn):

(1)對(duì)于行文處理自動(dòng)化,可以處理外城各類文件的接受、批閱以及辦理。

(2)對(duì)于事務(wù)處理自動(dòng)化,可以處理行政事務(wù)、專業(yè)事務(wù)以及督辦事務(wù)等。

(3)對(duì)于輔助決策自動(dòng)化,此環(huán)節(jié)主要建立在前兩個(gè)環(huán)節(jié)上,為相關(guān)負(fù)責(zé)人處理各種信息,然后通過分析,最終為決策者提供準(zhǔn)確的依據(jù)。在電工設(shè)計(jì)中,涉及有多個(gè)設(shè)計(jì)流程。自動(dòng)化技術(shù)的應(yīng)用大大提高了工作效率。在實(shí)際應(yīng)用過程中,還可以采用OA輔助辦公軟件進(jìn)行管理,利用計(jì)算機(jī)對(duì)多種流程文件和數(shù)據(jù)文件進(jìn)行分析和管理。

4 結(jié)語

自動(dòng)化技術(shù)是融合多種技術(shù)的綜合性技術(shù),這種技術(shù)在我國智能化行業(yè)上是一個(gè)重要環(huán)節(jié),其推動(dòng)了我國智能化技術(shù)和工業(yè)技術(shù)的發(fā)展,在信息化建設(shè)中占據(jù)著十分重要的地位。在未來的發(fā)展中,自動(dòng)化技術(shù)是我國未來科技中的核心趨勢,同時(shí)也是我國社會(huì)發(fā)展的重要技術(shù)力量。

參考文獻(xiàn)

[1]姜俊勇,萬仁保.自動(dòng)化技術(shù)在電子信息工程設(shè)計(jì)中的應(yīng)用[J].技術(shù)與市場,2014,11:140.

[2]尹坤任.自動(dòng)化技術(shù)在電子信息工程設(shè)計(jì)中的應(yīng)用[J].電子技術(shù)及軟件工程,2014,07:253.

第6篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

一、傳統(tǒng)電子產(chǎn)品設(shè)計(jì)中遇到的問題

1、傳統(tǒng)的電子產(chǎn)品,從設(shè)計(jì)、調(diào)試到驗(yàn)證完成,一般采用面包板或?qū)iT的焊機(jī)板,通過手工裝配,再進(jìn)行電路的反復(fù)測量、評(píng)估電路性能。當(dāng)電路設(shè)計(jì)非常復(fù)雜時(shí),采用這種傳統(tǒng)的設(shè)計(jì)方法,極易產(chǎn)生連線錯(cuò)誤、器件損壞等人為錯(cuò)誤,常會(huì)造成人力、財(cái)力、時(shí)間的浪費(fèi)。尤其是設(shè)計(jì)集成電路時(shí),傳統(tǒng)的設(shè)計(jì)方法無法模擬集成電路的真實(shí)特性。

2、電子產(chǎn)品的各項(xiàng)性能的分析,特別是消耗和破壞性的分析與測試。

3、設(shè)計(jì)過程中的大量的復(fù)雜的計(jì)算。

二、電子設(shè)計(jì)自動(dòng)化的發(fā)展過程及解決的問題

在20世紀(jì)70年代到80年代中期,計(jì)算機(jī)技術(shù)和電子技術(shù)的發(fā)展促進(jìn)了計(jì)算機(jī)雇主設(shè)計(jì)(CAD)理論的研究和應(yīng)用,是CAD技術(shù)成為電子設(shè)計(jì)領(lǐng)域的新興學(xué)科。20世紀(jì)80年代中期開始,隨著高性能計(jì)算機(jī)技術(shù)的發(fā)展,尤其是微型計(jì)算機(jī)技術(shù)的發(fā)展,CAD技術(shù)邁向了其高級(jí)階段,出現(xiàn)了電子設(shè)計(jì)自動(dòng)化(EDA)。電子設(shè)計(jì)自動(dòng)化技術(shù)(EDA)是指以計(jì)算機(jī)為工作平臺(tái),融合應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理技術(shù)、及智能化技術(shù),進(jìn)行鏈子線路與系統(tǒng)的功能設(shè)計(jì)、邏輯設(shè)計(jì)、性能分析、系統(tǒng)優(yōu)化直至印制電路板的自動(dòng)設(shè)計(jì),它可以完成電子工程設(shè)計(jì)的全過程。利用EDA工具,電子設(shè)計(jì)工程師可以從概念、算法等開始設(shè)計(jì)電子系統(tǒng),大量工作可以通過計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過程在計(jì)算機(jī)上自動(dòng)處理完成。其基本特征是以計(jì)算機(jī)硬件和相關(guān)軟件為工作平臺(tái)、最大限度地提高電子線路或系統(tǒng)的設(shè)計(jì)質(zhì)量和效率,從而節(jié)省人力、物力和開發(fā)城本,縮短開發(fā)周期。

三、電子設(shè)計(jì)自動(dòng)化的主要特點(diǎn)

1、設(shè)計(jì)過程自動(dòng)化

在EDA的應(yīng)用中,可以利用EDA應(yīng)用軟件,實(shí)現(xiàn)由系統(tǒng)層到電路層再到物理層的整個(gè)設(shè)計(jì)過程的自動(dòng)化。在設(shè)計(jì)過程中,設(shè)計(jì)人員可以按照電子線路或系統(tǒng)的指標(biāo)要求,采用完全獨(dú)立于芯片廠商及其產(chǎn)品結(jié)構(gòu)的描述語言,在功能級(jí)對(duì)設(shè)計(jì)產(chǎn)品進(jìn)行定義,并利用應(yīng)用軟件提供的仿真技術(shù)驗(yàn)證設(shè)計(jì)結(jié)果。具體地講,設(shè)計(jì)人員可以從概念、算法、協(xié)議等開始設(shè)計(jì)電子系統(tǒng)通過計(jì)算機(jī)機(jī)上自動(dòng)完成。2、高度開發(fā)的集成環(huán)境利用計(jì)算機(jī)技術(shù)的支持,在計(jì)算機(jī)平臺(tái)上安裝功能不同的軟件,形成一個(gè)功能強(qiáng)大的EDA設(shè)計(jì)環(huán)境。在這個(gè)環(huán)境中,可以控制和管理設(shè)計(jì)方案、設(shè)計(jì)過程和設(shè)計(jì)數(shù)據(jù),甚至可以讓這些軟件共享設(shè)計(jì)資源。這種高度開放的集成環(huán)境,包含了電路設(shè)計(jì)開發(fā)過程,而且其文件類型在不同的EDA軟件中是可以共享的。

3、高度智能化的操作

在EDA技術(shù)中,由于應(yīng)用軟件的智能化設(shè)計(jì),各種設(shè)計(jì)向?qū)Ш吞崾臼滞陚洌闺娮釉O(shè)計(jì)人員不必學(xué)習(xí)更高深的專業(yè)理論知識(shí),更不必進(jìn)行手工運(yùn)算,在應(yīng)用軟件環(huán)境中,就可以完成線路或系統(tǒng)的設(shè)計(jì),并得到精確的仿真結(jié)果。

第7篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

關(guān)鍵詞:EDA仿真;負(fù)載能力;擴(kuò)流設(shè)計(jì);仿真對(duì)比驗(yàn)證

中圖分類號(hào):TN702文獻(xiàn)標(biāo)識(shí)碼:A

文章編號(hào):1004-373X(2009)19-199-02

Research and Application of IC Test Instrument Power Circuit Simulation Design

SUN Chengting,ZHU Chunjiang

(Lianyungang Technical College,Lianyungang,222006,China)

Abstract:According to the problems of certain lab IC test instrument not being perfect on power circuit design and the system halted or restoration not being unusual on lower load capacity,the power circuit design and current-amplification circuit are being improved based on the original circuit,the contrastive verificafion is used for improving circuit with EDA simulation technique,and the problem in practical application is also solved.

Keywords:EDA simulation;load capacity;current-amplification design;simulation contrast verification

0 引 言

集成電路測試儀可用來測量集成電路的好壞,在電子實(shí)驗(yàn)室中應(yīng)用廣泛。在實(shí)際使用中,發(fā)現(xiàn)部分廠家生產(chǎn)的測試儀存在一些問題,如電網(wǎng)電壓波動(dòng)或負(fù)載加重后容易出現(xiàn)死機(jī)或復(fù)位不正?,F(xiàn)象,這對(duì)實(shí)驗(yàn)進(jìn)程和實(shí)驗(yàn)室管理有很大影響,也是困擾實(shí)驗(yàn)指導(dǎo)老師的常見問題,必須予以解決。本文通過某一種測試儀電源電路的改進(jìn)的試驗(yàn),會(huì)給實(shí)驗(yàn)室管理者以借鑒。

在電路設(shè)計(jì)中用到EDA(Electronics Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù)。在進(jìn)行電路改進(jìn)前,從電路參數(shù)設(shè)計(jì),電路功能仿真驗(yàn)證等都在計(jì)算機(jī)上先用EDA軟件完成,不但縮短了電路設(shè)計(jì)時(shí)間,而且大大地節(jié)約了成本。

EDA 技術(shù)是隨著集成電路和計(jì)算機(jī)技術(shù)的飛速發(fā)展應(yīng)運(yùn)而生的一種高級(jí)、快速、有效的電子設(shè)計(jì)自動(dòng)化工具。它經(jīng)歷了計(jì)算機(jī)輔助設(shè)計(jì)(Computer Assist Design,CAD)、計(jì)算機(jī)輔助工程設(shè)計(jì)(Computer Assist Engineering Design,CAE)和電子設(shè)計(jì)自動(dòng)化(Electronic Design Automation,EDA)三個(gè)發(fā)展階段[1]。利用EDA技術(shù)進(jìn)行電子系統(tǒng)的設(shè)計(jì),具有以下幾個(gè)特點(diǎn)[2]:用軟件的方式設(shè)計(jì)硬件;用軟件方式設(shè)計(jì)的系統(tǒng)到硬件系統(tǒng)的轉(zhuǎn)換是由有關(guān)的開發(fā)軟件自動(dòng)完成的;對(duì)設(shè)計(jì)電路功能是否正確可進(jìn)行仿真分析。

目前流行的EDA軟件有Protel 99 SE,EWB,Multisim,PSpice等幾種[3]。本文運(yùn)用Protell 99 SE 中的Advanced SIM 99仿真功能對(duì)所改進(jìn)的電路進(jìn)行仿真和應(yīng)用。

1 EDA仿真在測試儀電源電路設(shè)計(jì)中的應(yīng)用

學(xué)校電工電子實(shí)驗(yàn)室有多臺(tái)LM-800C數(shù)字集成電路測試儀,在使用中有時(shí)會(huì)出現(xiàn)死機(jī),復(fù)位不正?,F(xiàn)象。通過研究,發(fā)現(xiàn)電源電路存在問題:電源擴(kuò)展能力差,帶負(fù)載能力弱。筆者根據(jù)其PCB(Printed Circuit Board,印制電路板)繪制出其電源電路原理圖,如圖1所示。

圖1 LM-800C數(shù)字集成電路測試儀電源電路圖

圖1中,78M05為5 V三端穩(wěn)壓器[4],RL為測試儀負(fù)載,實(shí)際上是待測集成電路。

限于篇幅,只繪制主要部分,電源線路濾波器在圖中未畫出。通過研究,發(fā)現(xiàn)電源電路存在問題:電源擴(kuò)展能力差,帶負(fù)載能力不強(qiáng),有時(shí)會(huì)出現(xiàn)死機(jī)、無法復(fù)位現(xiàn)象。通過對(duì)其電源電路的改進(jìn),增加了擴(kuò)流電路,從而解決了實(shí)際使用中存在的問題。

1.1測試儀電源電路的擴(kuò)流設(shè)計(jì)

為了節(jié)約成本,不能對(duì)原來電路進(jìn)行全新設(shè)計(jì),只能在原來電源電路基礎(chǔ)上,通過增加部分電路來增強(qiáng)其帶負(fù)載能力。

改進(jìn)中需要考慮的問題[5]:

(1) 選擇合適的濾波電容。電源輸出直流電壓要穩(wěn)定,紋波小。

(2) 增加了擴(kuò)流電路,當(dāng)電源電壓不穩(wěn)定或測試系統(tǒng)負(fù)載增大時(shí),電源帶負(fù)載能力強(qiáng),輸出電壓穩(wěn)定。

圖2為經(jīng)過改進(jìn)的帶擴(kuò)流功能的電路,帶負(fù)載能力較強(qiáng),能擴(kuò)大電路的輸出電流。Q1為外接擴(kuò)流功率三極管,R1為Q1的偏置電阻。該電路帶負(fù)載能力與Q1的參數(shù)有關(guān)。C1,C4為濾波電容,C2為0.33 μF,可抵消輸入接線的電感效應(yīng),C3可防止高頻自激,消除高頻噪聲,改善負(fù)載的瞬態(tài)響應(yīng)[6,7]。

圖2 帶擴(kuò)流功能的電路

電源電路擴(kuò)展輸出電流的工作原理:

二極管D1用于消除三極管Q1的發(fā)射結(jié)Ube對(duì)輸出電壓的影響(相當(dāng)于發(fā)射結(jié)的導(dǎo)通電壓0.7 V),并提供電容C4的放電回路。設(shè)三端穩(wěn)壓器78M05的最大輸出電流為Imax,則晶體管的最大基極電流Ib=Imax-IRL,因而負(fù)載RL上電流的最大值I可表示為:

I=(1+β)(Imax- IRL)

一般三極管的基極電流Ib很小,與Imax相比可忽略不計(jì),I比Imax大許多,可見輸出電流提高了,從而可提高電源的帶負(fù)載能力。

1.2 兩種電路帶負(fù)載能力的仿真對(duì)比驗(yàn)證

可用Protell 99 Advanced SIM 99[6,7]對(duì)原電路(圖1)和改進(jìn)后的電路(圖2)進(jìn)行仿真分析,以驗(yàn)證二者的帶負(fù)載能力。

(1) 仿真參數(shù)設(shè)置

首先進(jìn)行仿真參數(shù)設(shè)置,進(jìn)行瞬態(tài)分析與傅里葉分析[8,9],仿真參數(shù)設(shè)置對(duì)話框如圖3所示。

圖3 仿真參數(shù)設(shè)置對(duì)話框

為了突出顯示,顯示器上只顯示兩個(gè)波形,其中in為輸入端,out為輸出端。

(2) 仿真波形對(duì)比分析

用Protell 99 Advanced SIM 99對(duì)圖1所示電路進(jìn)行仿真,發(fā)現(xiàn)當(dāng)負(fù)載變重,超過78M05最大輸出電流(0.7 A)時(shí)[10],將使輸出電壓的紋波增大,輸出電壓(out)下降且不穩(wěn)定,out波形有明顯的波動(dòng),5 V下降為4 V左右,且輸出(out)波形不平滑,紋波大。負(fù)載變重后的仿真波形如圖4所示。

圖4 負(fù)載變重后的波形

為了增大電源的帶負(fù)載能力,在原電路的基礎(chǔ)上加擴(kuò)展電流三極管Q1后,帶同樣的負(fù)載,輸出電壓很穩(wěn)定(5 V),仿真波形如圖5所示。

圖5 加擴(kuò)流三極管后仿真波形

從輸出波形(out)可以看出,電壓很穩(wěn)定,沒有紋波。

1.3 設(shè)計(jì)電路的應(yīng)用效果

經(jīng)改進(jìn)后的電源電路,在實(shí)驗(yàn)室的實(shí)際使用中,再未發(fā)現(xiàn)死機(jī)或不能正常復(fù)位現(xiàn)象,證明通過EDA仿真所設(shè)計(jì)的電路在使用中獲得成功。

2 結(jié) 語

用EDA仿真技術(shù)能方便電路設(shè)計(jì),并可驗(yàn)證電路

設(shè)計(jì)的正確性。通過對(duì)兩種電路的仿真對(duì)比,說明改進(jìn)后電源電路帶負(fù)載能力強(qiáng),這在實(shí)際使用中得到驗(yàn)證。

參考文獻(xiàn)

[1]王濤.數(shù)字集成電路的故障診斷和故障仿真技術(shù)的研究 [D].成都:電子科技大學(xué),2005.

[2]National Instruments.The Measurement and Automation Catalog 2004[Z].2004.

[3]伏家才.EDA原理與應(yīng)用 [M].北京:化學(xué)工業(yè)出版社,2006.

[4]周紹慶.模擬電子技術(shù)基礎(chǔ)[M].北京:北京交通大學(xué)出版社,2007.

[5]羅敏.專用集成電路邏輯測試儀系統(tǒng)總體實(shí)現(xiàn)[D].西安:西北工業(yè)大學(xué),2006.

[6]Cheng K T,Jou J Y.Functional Test Generation for Finite State Machines [A].Proc. ITC[C].2006:160-168.

[7]陳松.電子設(shè)計(jì)自動(dòng)化[M].南京:東南大學(xué)出版社,2005.

[8]朱勇.Protel DXP范例入門與提高[M].北京:清華大學(xué)出版社,2004.

第8篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

關(guān)鍵詞: EWB 計(jì)算機(jī)仿真 電子技術(shù)

電子技術(shù)基礎(chǔ)是職業(yè)學(xué)校電工電子類各專業(yè)最為重要的專業(yè)基礎(chǔ)課程之一,也是一門實(shí)踐性很強(qiáng)的課程。在教學(xué)過程中,不少學(xué)生對(duì)看不見摸不著的電子現(xiàn)象的相關(guān)知識(shí)缺乏感性的認(rèn)識(shí),其中一個(gè)重要的原因在于實(shí)驗(yàn)設(shè)備的不足;另一個(gè)重要的原因在于講授理論課難以進(jìn)行同步的實(shí)驗(yàn);同時(shí),對(duì)于復(fù)雜的原理、現(xiàn)象缺少相關(guān)的多媒體輔助教學(xué)平臺(tái),用傳統(tǒng)教學(xué)方法難以講解明白;再者就是授課時(shí)間不夠充足,學(xué)生動(dòng)手機(jī)會(huì)不多。近幾年來,筆者在教學(xué)中嘗試運(yùn)用EWB仿真軟件進(jìn)行輔助教學(xué),使抽象的理論直觀化、形象化,使實(shí)驗(yàn)與理論得到了有機(jī)結(jié)合,教師教得輕松,學(xué)生也學(xué)得愉快,達(dá)到了事半功倍的效果。

一、EWB簡介

EWB是加拿大Interactive Image Technologies公司推出的一個(gè)專門用于電子電路仿真和設(shè)計(jì)的EDA工具軟件,是計(jì)算機(jī)仿真技術(shù)在電子技術(shù)中的應(yīng)用。EWB軟件提供了上萬種真實(shí)精度的電子元器件模型,標(biāo)準(zhǔn)的電子元器件符號(hào)、外形和操作方法與實(shí)際電子儀器十分相似的虛擬儀器(萬用表、函數(shù)信號(hào)發(fā)生器、示波器、數(shù)字信號(hào)發(fā)生器、邏輯分析儀等)以及穩(wěn)態(tài)分析、瞬態(tài)分析、噪聲分析、失真分析等十多種對(duì)電路進(jìn)行仿真分析的手段。它具有如下四個(gè)特點(diǎn):

1.采用直觀的圖形界面創(chuàng)建電路,可在計(jì)算機(jī)屏幕上模擬仿真實(shí)驗(yàn)室的工作臺(tái),繪制電路圖需要的元器件和電路需要的測試儀器均可直接從屏幕上選取。

2.EWB具有完整的混合模擬與數(shù)字模擬功能,可任意在系統(tǒng)中集成數(shù)字及模擬元器件。EWB能自動(dòng)進(jìn)行信號(hào)轉(zhuǎn)換。在輸出信號(hào)的觀察上,EWB具備即時(shí)顯示的功能。

3.EWB軟件帶有豐富的元器件庫,可提供多種電路分析方法。

4.EWB具有虛擬的儀表設(shè)備,包含函數(shù)信號(hào)發(fā)生器、萬用電表、示波器、邏輯分析儀等,可具體的模擬實(shí)際的測量情況。利用EWB提供的虛擬儀器可以用比在實(shí)驗(yàn)室中更靈活的方式進(jìn)行電路實(shí)驗(yàn),仿真電路的實(shí)際運(yùn)行情況,使學(xué)生熟悉常用電子儀器的測量方法。

二、EWB在電子技術(shù)課程教學(xué)中的應(yīng)用

下面以分壓式工作點(diǎn)穩(wěn)定電路為例,介紹EWB在電子技術(shù)課程中的應(yīng)用。在本例中我們主要對(duì)該電路的直流工作點(diǎn)和交流電路進(jìn)行分析。

1.繪制原理圖(如圖一所示)

2.靜態(tài)工作點(diǎn)分析

其靜態(tài)工作點(diǎn)的估算為

按圖一連接好電路,運(yùn)用“Simulate/Analysis”菜單中的“DC Operating Point”功能選項(xiàng)分析直流工作點(diǎn),結(jié)果如圖二所示,UCEQ=5.1V(節(jié)點(diǎn)2減去節(jié)點(diǎn)3的電壓),UBQ=2.9V(節(jié)點(diǎn)4的電壓)。與理論分析的數(shù)值相符。

3.交流分析

運(yùn)用“Simulate/Analysis”菜單中的“AC Analysis”功能選項(xiàng)進(jìn)行交流分析,得到如圖三所示結(jié)果,即在工作點(diǎn)穩(wěn)定電路中小信號(hào)作用下的頻率響應(yīng),包括幅頻特性和相頻特性。

除了以上兩種分析,EWB仿真系統(tǒng)還可以進(jìn)行瞬態(tài)分析、參數(shù)掃描分析、溫度分析等。

三、結(jié)語

總之,基于EWB的電子電路課堂教學(xué)大大豐富了電子電路課堂教學(xué)方法,拓展了教學(xué)內(nèi)容的廣度和深度,為學(xué)生創(chuàng)造了更多的動(dòng)口、動(dòng)腦、動(dòng)手的機(jī)會(huì),使學(xué)生的學(xué)習(xí)狀態(tài)由被動(dòng)變?yōu)橹鲃?dòng),學(xué)習(xí)積極性大大提高,同時(shí)它也因更貼近現(xiàn)代科技、更具有時(shí)代氣息而受到學(xué)生的歡迎。

參考文獻(xiàn):

[1]鐘文耀,段玉生,何麗靜.EWB電路設(shè)計(jì)入門與應(yīng)用.北京清華大學(xué)出版社,2002.

[2]李克慶,張俊燕.計(jì)算機(jī)輔助教學(xué)體系初探[J].中國冶金教育,2001.

[3]鄭步生,吳渭.Multisim2001電路設(shè)計(jì)及仿真入門與應(yīng)用.電子工業(yè)出版社,2002.

第9篇:計(jì)算機(jī)輔助電路設(shè)計(jì)范文

作為現(xiàn)代電子技術(shù)的核心,EDA借助于工具軟件平臺(tái),以系統(tǒng)邏輯描述手段完成文件設(shè)計(jì)、邏輯編譯、化簡、綜合、優(yōu)化以及級(jí)結(jié)構(gòu)綜合和仿真測試等利用軟件和面熟性語言對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn)。EDA技術(shù)的發(fā)展大致可分為三個(gè)階段:第一階段主要利用計(jì)算機(jī)程序編輯功能,人們開始用計(jì)算機(jī)取代手工勞動(dòng),利用計(jì)算機(jī)輔助設(shè)計(jì),對(duì)于提高工作具有很大的幫助,由于技術(shù)還處于初步階段,第一階段的技術(shù)還不夠成熟,發(fā)展水平還不是太好。隨著EDA技術(shù)的不斷發(fā)展,逐漸進(jìn)入生命周期的第二階段,這一階段主要實(shí)現(xiàn)了自動(dòng)布局布線、電路設(shè)計(jì)、PCB分析等功能,這一階段由于發(fā)達(dá)國家競爭激烈,推動(dòng)了EDA技術(shù)的進(jìn)步,使EDA的發(fā)展水平有了很大的提高。EDA技術(shù)發(fā)展的第三個(gè)階段,也即20世紀(jì)90年代到現(xiàn)在,EDA技術(shù)已經(jīng)變得更加智能化,采用高級(jí)描述語言、系統(tǒng)仿真和綜合技術(shù)將許多高層次設(shè)計(jì)用EDA工具完成。作為一個(gè)設(shè)計(jì)工具,EDA可以完成很多電子產(chǎn)品的設(shè)計(jì)工作,和傳統(tǒng)的手工設(shè)計(jì)方法象比較,EDA技術(shù)具有以下特點(diǎn):電路有較高的可靠性,速度快,硬件描述語言的使用,設(shè)計(jì)文檔管理里更加簡單方便,強(qiáng)大的電路仿真功能,具有自主知識(shí)產(chǎn)權(quán)、開發(fā)技術(shù)的可用性強(qiáng)、對(duì)設(shè)計(jì)者的經(jīng)驗(yàn)要求低等特點(diǎn),比傳統(tǒng)手工設(shè)計(jì)更加簡單、可靠、迅速、便捷,給電子線路的設(shè)計(jì)工作提供了極大的便利。

2EDA技術(shù)在通信電子線路中的推廣應(yīng)用

EDA技術(shù)對(duì)電子產(chǎn)品設(shè)計(jì)行業(yè)帶來巨變,是電子通信領(lǐng)域的重要元素,對(duì)電子線路設(shè)計(jì)的發(fā)展帶來了巨大的推動(dòng)作用。因此,EDA技術(shù)在通信電子線路中的應(yīng)用將越來越廣泛,通信電子線路將和EDA技術(shù)有機(jī)的結(jié)合在一起,使電子設(shè)計(jì)線路變得更加的方便、可靠、安全。

(1)EDA技術(shù)應(yīng)用于通信電子線路的教學(xué)實(shí)驗(yàn)中。通信電子線路是電子信息工程、通信工程等專業(yè)課程的基礎(chǔ)課程,對(duì)于學(xué)生的學(xué)習(xí)具有很強(qiáng)的重要性,為了使學(xué)生能夠更加清晰的掌握通信領(lǐng)域的基礎(chǔ)知識(shí),了解通信領(lǐng)域的基本功能和工作原理,需要更加精確的實(shí)驗(yàn)儀器加以師范,使其更加形象豐富的展示在學(xué)生面前,而傳統(tǒng)的實(shí)驗(yàn)儀器精確度不夠,進(jìn)而印象實(shí)驗(yàn)的準(zhǔn)確度,達(dá)不到實(shí)驗(yàn)想要的結(jié)果。但是EDA技術(shù)可以帶給學(xué)生更加份豐富形象的課堂,使實(shí)驗(yàn)條件大大改觀,不僅提高了實(shí)驗(yàn)的精準(zhǔn)度,也豐富了學(xué)生的拓展能力。

(2)EDA技術(shù)在通信產(chǎn)品中的應(yīng)用。隨著人們生活質(zhì)量的提高,對(duì)待通信產(chǎn)品質(zhì)量的要求也越來越高,抗干擾功能要求越來越高,數(shù)字業(yè)務(wù)逐漸升級(jí),模擬信道、模擬話音、模擬加密等對(duì)數(shù)字化要求越來越高。為了滿足以上要求,電子線路需要較大調(diào)整,使用大量高速電子電路以及數(shù)?;旌想娐?使電路設(shè)計(jì)更加復(fù)雜,傳統(tǒng)的設(shè)計(jì)多是拼接經(jīng)驗(yàn)進(jìn)行設(shè)計(jì)、驗(yàn)證、修改、再驗(yàn)證等一系列過程加以實(shí)現(xiàn)的,不僅耗時(shí),還會(huì)增加成本,更重要的是缺乏精密儀器的分析,可靠性低。利用EDA工具可以進(jìn)行更快、更準(zhǔn)、更精確的設(shè)計(jì)出通信產(chǎn)品所需的電路。

(3)EDA技術(shù)在射頻電子電路設(shè)計(jì)中的應(yīng)用。設(shè)計(jì)射頻電子線路是EDA技術(shù)在通信電子線路設(shè)計(jì)中最主要的表現(xiàn),EDA技術(shù)是科學(xué)發(fā)展的產(chǎn)物,它主要借助于計(jì)算機(jī)在軟件平臺(tái)上用硬件描述性語言VHDL進(jìn)行電子線路設(shè)計(jì)的編輯,然后自動(dòng)完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真等一系列過程。EDA技術(shù)能夠有效提高電路的可操作性,降低了設(shè)計(jì)工作者的設(shè)計(jì)難度和強(qiáng)度。為電子電路設(shè)計(jì)提供了極大的便利。(4)EDA技術(shù)在理論分析仿真方面的應(yīng)用。傳統(tǒng)的設(shè)計(jì)方法是需要先設(shè)計(jì)制作出一個(gè)整機(jī),然后進(jìn)行實(shí)驗(yàn),合格之后才可以批量生產(chǎn),不合格就需要重新設(shè)計(jì)制作新的樣機(jī),可靠性較低,且耗費(fèi)較多的人力物力,而仿真軟件則解決了電臺(tái)設(shè)計(jì)中許多的技術(shù)難關(guān),仿真軟件砸器制作整機(jī)之前先進(jìn)行原理仿真和虛擬聯(lián)機(jī)兩個(gè)過程,簡化了整機(jī)制作的過程,節(jié)約大量人力物力,同時(shí)仿真系統(tǒng)提供更加精確的設(shè)計(jì)標(biāo)準(zhǔn),使設(shè)計(jì)過程更加科學(xué),為設(shè)計(jì)提供了可靠的依據(jù)。因此EDA技術(shù)已經(jīng)成為產(chǎn)品研制,開發(fā)不可或缺的技術(shù)。

3結(jié)語