公務員期刊網 精選范文 模擬集成電路的分析與設計范文

模擬集成電路的分析與設計精選(九篇)

前言:一篇好文章的誕生,需要你不斷地搜集資料、整理思路,本站小編為你收集了豐富的模擬集成電路的分析與設計主題范文,僅供參考,歡迎閱讀并收藏。

模擬集成電路的分析與設計

第1篇:模擬集成電路的分析與設計范文

關鍵詞:集成電路專業(yè);實踐技能;人才培養(yǎng)

中圖分類號:G642.0 文獻標志碼: A 文章編號:1002-0845(2012)09-0102-02

集成電路產業(yè)是關系到國家經濟建設、社會發(fā)展和國家安全的新戰(zhàn)略性產業(yè),是國家核心競爭力的重要體現?!秶窠洕蜕鐣l(fā)展第十二個五年規(guī)劃綱要》明確將集成電路作為新一代信息技術產業(yè)的重點發(fā)展方向之一。

信息技術產業(yè)的特點決定了集成電路專業(yè)的畢業(yè)生應該具有很高的工程素質和實踐能力。然而,目前很多應屆畢業(yè)生實踐技能較弱,走出校園后普遍還不具備直接參與集成電路設計的能力。其主要原因是一些高校對集成電路專業(yè)實踐教學的重視程度不夠,技能培養(yǎng)目標和內容不明確,導致培養(yǎng)學生實踐技能的效果欠佳。因此,研究探索如何加強集成電路專業(yè)對學生實踐技能的培養(yǎng)具有非常重要的現實意義。

一、集成電路專業(yè)實踐技能培養(yǎng)的目標

集成電路專業(yè)是一門多學科交叉、高技術密集的學科,工程性和實踐性非常強。其人才培養(yǎng)的目標是培養(yǎng)熟悉模擬電路、數字電路、信號處理和計算機等相關基礎知識,以及集成電路制造的整個工藝流程,掌握集成電路設計基本理論和基本設計方法,掌握常用集成電路設計軟件工具,具有集成電路設計、驗證、測試及電子系統(tǒng)開發(fā)能力,能夠從事相關領域前沿技術工作的應用型高級技術人才。

根據集成電路專業(yè)人才的培養(yǎng)目標,我們明確了集成電路專業(yè)的核心專業(yè)能力為:模擬集成電路設計、數字集成電路設計、射頻集成電路設計以及嵌入式系統(tǒng)開發(fā)四個方面。圍繞這四個方面的核心能力,集成電路專業(yè)人才實踐技能培養(yǎng)的主要目標應確定為:掌握常用集成電路設計軟件工具,具備模擬集成電路設計能力、數字集成電路設計能力、射頻集成電路設計能力、集成電路版圖設計能力以及嵌入式系統(tǒng)開發(fā)能力。

二、集成電路專業(yè)實踐技能培養(yǎng)的內容

1.電子線路應用模塊。主要培養(yǎng)學生具有模擬電路、數字電路和信號處理等方面的應用能力。其課程主要包含模擬電路、數字電路、電路分析、模擬電路實驗、數字電路實驗以及電路分析實驗等。

2.嵌入式系統(tǒng)設計模塊。主要培養(yǎng)學生掌握嵌入式軟件、嵌入式硬件、SOPC和嵌入式應用領域的前沿知識,具備能夠從事面向應用的嵌入式系統(tǒng)設計能力。其課程主要有C語言程序設計、單片機原理、單片機實訓、傳感器原理、傳感器接口電路設計、FPGA原理與應用及SOPC系統(tǒng)設計等。

3.集成電路制造工藝模塊。主要培養(yǎng)學生熟悉半導體集成電路制造工藝流程,掌握集成電路制造各工序工藝原理和操作方法,具備一定的集成電路版圖設計能力。其課程主要包含半導體物理、半導體材料、集成電路專業(yè)實驗、集成電路工藝實驗和集成電路版圖設計等。

4.模擬集成電路設計模塊。主要培養(yǎng)學生掌握CMOS模擬集成電路設計原理與設計方法,熟悉模擬集成電路設計流程,熟練使用Cadence、Synopsis、Mentor等EDA工具,具備運用常用的集成電路EDA軟件工具從事模擬集成電路設計的能力。其課程主要包含模擬電路、半導體物理、CMOS模擬集成電路設計、集成電路CAD設計、集成電路工藝原理、VLSI集成電路設計方法和混合集成電路設計等。此外,還包括Synopsis認證培訓相關課程。

5.數字集成電路設計模塊。主要培養(yǎng)學生掌握數字集成電路設計原理與設計方法,具備運用常用的集成電路EDA軟件工具從事數字集成電路設計的能力。其課程主要包含數字電路、數字集成電路設計、硬件描述語言、VLSI測試技術、ASIC設計綜合和時序分析等。

6.射頻集成電路設計模塊。主要培養(yǎng)學生掌握射頻集成電路設計原理與設計方法,具備運用常用的集成電路EDA軟件工具從事射頻集成電路設計的能力。其課程主要包含CMOS射頻集成電路設計、電磁場技術、電磁場與

天線和通訊原理等。

在實踐教學內容的設置、安排上要符合認識規(guī)律,由易到難,由淺入深,充分考慮學生的理論知識基礎與基本技能的訓練,既要有利于啟發(fā)學生的創(chuàng)新思維與意識,有利于培養(yǎng)學生創(chuàng)新進取的科學精神,有利于激發(fā)學生的學習興趣,又要保證基礎,注重發(fā)揮學生主觀能動性,強化綜合和創(chuàng)新。因此,在集成電路專業(yè)的實驗教學安排上,應減少緊隨理論課開設的驗證性實驗內容比例,增加綜合設計型和研究創(chuàng)新型實驗的內容,使學有余力的學生能發(fā)揮潛能,有利于因材施教。

三、集成電路專業(yè)實踐技能培養(yǎng)的策略

1.改善實驗教學條件,提高實驗教學效果。學校應抓住教育部本科教學水平評估的機會,加大對實驗室建設的經費投入,加大實驗室軟、硬件建設力度。同時加強實驗室制度建設,制訂修改實驗教學文件,修訂完善實驗教學大綱,加強對實驗教學的管理和指導。

2.改進實驗教學方法,豐富實驗教學手段。應以學生為主體,以教師為主導,積極改進實驗教學方法,科學安排課程實驗,合理設計實驗內容,給學生充分的自由空間,引導學生獨立思考應該怎樣做,使實驗成為可以激發(fā)學生理論聯(lián)系實際的結合點,為學生創(chuàng)新提供條件。應注重利用多媒體技術來豐富和優(yōu)化實驗教學手段,如借助實驗輔助教學平臺,利用仿真技術,加強新技術在實驗中的應用,使學生增加對實驗的興趣。

3.加強師資隊伍建設,確保實驗教學質量。高水平的實驗師資隊伍,是確保實驗教學質量、培養(yǎng)創(chuàng)新人才的關鍵。應制定完善的有利于實驗師資隊伍建設的制度,對實驗師資隊伍的人員數量編制、年齡結構、學歷結構和職稱結構進行規(guī)劃,從職稱、待遇等方面對實驗師資隊伍予以傾斜,保證實驗師資隊伍的穩(wěn)定和發(fā)展。

4.保障實習基地建設,增加就業(yè)競爭能力。開展校內外實習是提高學生實踐技能的重要手段。

實習基地是學生獲取科學知識、提高實踐技能的重要場所,對集成電路專業(yè)人才培養(yǎng)起著重要作用。學校應積極聯(lián)系那些具有一定實力并且在行業(yè)中有一定知名度的企業(yè),給能夠提供實習場所并愿意支持學校完成實習任務的單位掛實習基地牌匾。另外,可以把企業(yè)請進來,聯(lián)合構建集成電路專業(yè)校內實踐基地,把企業(yè)和高校的資源最大限度地整合起來,實現在校教育與產業(yè)需求的無縫聯(lián)接。

5.重視畢業(yè)設計,全面提升學生的綜合應用能力。畢業(yè)設計是集成電路專業(yè)教學中最重要的一個綜合性實踐教學環(huán)節(jié)。由于畢業(yè)設計工作一般都被安排在最后一個學期,此時學生面臨找工作和準備考研復試的問題,畢業(yè)設計的時間和質量有時很難保證。為了進一步加強實踐環(huán)節(jié)的教學,應讓學生從大學四年級上半學期就開始畢業(yè)設計,因為那時學生已經完成基礎課程和專業(yè)基礎課程的學習,部分完成專業(yè)課程的學習,而專業(yè)課教師往往就是學生畢業(yè)設計的指導教師,在此時進行畢業(yè)設計,一方面可以和專業(yè)課學習緊密結合起來,另一方面便于指導教師加強對學生的教育和督促。

選題是畢業(yè)設計中非常關鍵的環(huán)節(jié),通過選題來確定畢業(yè)設計的方向和主要內容,是做好畢業(yè)設計的基礎,決定著畢業(yè)設計的效果。因此教師對畢業(yè)設計的指導應從幫助學生選好設計題目開始。集成電路專業(yè)畢業(yè)設計的選題要符合本學科研究和發(fā)展的方向,在選題過程中要注重培養(yǎng)學生綜合分析和解決問題的能力。在畢業(yè)設計的過程中,可以讓學生們適當地參與教師的科研活動,以激發(fā)其專業(yè)課學習的熱情,在科研實踐中發(fā)揮和鞏固專業(yè)知識,提高實踐能力。

6.全面考核評價,科學檢驗技能培養(yǎng)的效果。實踐技能考核是檢驗實踐培訓效果的重要手段。相比理論教學的考核,實踐教學的考核標準不易把握,操作困難,因此各高校普遍缺乏對實踐教學的考核,影響了實踐技能培養(yǎng)的效果。集成電路專業(yè)學生的實踐技能培養(yǎng)貫穿于大學四年,每個培養(yǎng)環(huán)節(jié)都應進行科學的考核,既要加強實驗教學的考核,也要加強畢業(yè)設計等環(huán)節(jié)的考核。

對實驗教學考核可以分為事中考核和事后考核。事中考核是指在實驗教學進行過程中進行的質量監(jiān)控,教師要對學生在實驗過程中的操作表現、學術態(tài)度以及參與程度等進行評價;事后考核是指實驗結束后要對學生提交的實驗報告進行評價。這兩部分構成實驗課考核成績,并于期末計入課程總成績。這樣做使得學生對實驗課的重視程度大大提高,能夠有效地提高實驗課效果。此外,還可將學生結合教師的科研開展實驗的情況計入實驗考核。

7.借助學科競賽,培養(yǎng)團隊協(xié)作意識和創(chuàng)新能力。集成電路專業(yè)的學科競賽是通過針對基本理論知識以及解決實際問題的能力設計的、以學生為參賽主體的比賽。學科競賽能夠在緊密結合課堂教學或新技術應用的基礎上,以競賽的方式培養(yǎng)學生的綜合能力,引導學生通過完成競賽任務來發(fā)現問題、解決問題,并增強學生的學習興趣及研究的主動性,培養(yǎng)學生的團隊協(xié)作意識和創(chuàng)新精神。

在參加競賽的整個過程中,學生不僅需要對學習過的若干門專業(yè)課程進行回顧,靈活運用,還要查閱資料、搜集信息,自主提出設計思想和解決問題的辦法,既檢驗了學生的專業(yè)知識,又促使學生主動地學習,最終使學生的動手能力、自學能力、科學思維能力和創(chuàng)業(yè)創(chuàng)新能力都得到不斷的提高。而教師通過考察學生在參賽過程中運用所學知識的能力,認真總結參賽經驗,分析由此暴露出的相關教學環(huán)節(jié)的問題和不足,能夠相應地改進教學方法與內容,有利于提高技能教學的有效性。

此外,還應鼓勵學生積極申報校內的創(chuàng)新實驗室項目和實驗室開放基金項目,通過這些項目的研究可以極大地提高學生的實踐動手能力和創(chuàng)新能力。

參考文獻:

[1]袁穎,等.依托專業(yè)特色,培養(yǎng)創(chuàng)新人才[J]. 電子世界,2012(1).

[2]袁穎,等.集成電路設計實踐教學課程體系的研究[J]. 實驗技術與管理,2009(6).

[3]李山,等.以新理念完善工程應用型人才培養(yǎng)的創(chuàng)新模式[J]. 高教研究與實踐,2011(1).

[4]劉勝輝,等.集成電路設計與集成系統(tǒng)專業(yè)課程體系研究與實踐[J]. 計算機教育,2008(22).

第2篇:模擬集成電路的分析與設計范文

【關鍵詞】帶隙基準;曲率補償;高穩(wěn)定性

1.引言

基準電路包括基準電壓源和基準電流源,在電路中提供電壓基準和電流基準,是模擬集成電路和混合集成電路中非常重要的模塊[1]。隨著集成電路規(guī)模的不斷增大,特別是芯片系統(tǒng)集成(SOC)技術[2]的提出,使基準電路被廣泛使用[3]的同時,也對其性能提出了更高的要求。

基準電壓源是指被用作電壓參考的高精確、高穩(wěn)定度的電壓源,理想的基準電壓是一個與電源、溫度、負載變化無關的量[4]?;鶞孰妷涸词乾F代模擬電路極為重要的組成部分,它對高新模擬電子技術的應用與發(fā)展具有重要作用。在許多模擬電路中,如數模轉換器(DAC)、模數轉換器(ADC)、線性穩(wěn)壓器和開關穩(wěn)壓器中都需要高精度、高穩(wěn)定度的電壓基準源。特別是在精密測量儀器儀表和現代數字通信系統(tǒng)中,經常把集成電壓基準源作為系統(tǒng)測量和校準的基準。鑒于此,國外許多模擬集成電路制造廠商相繼推出許多種類的高精度集成電壓基準產品。隨著電路系統(tǒng)結構的進一步復雜化,對模擬電路基本模塊提出了更高的精度和速度要求,這樣也就意味著系統(tǒng)對其中的基準電壓源模塊提出了更高的要求。

本論文在分析研究寬電壓源、高精度、低溫度系數集成電壓基準源的電路結構的基礎上,探索設計出一種輸出電壓為2.5V的最佳的電路結構,以實現電路寬電源電壓范圍(3V~36V)、低溫度漂移系數(≤10ppm/℃, -40℃~+85℃)、高精度的設計指標。

2.寬電源電壓集成電壓基準源設計

2.1 傳統(tǒng)的帶隙基準源[5][6]

基準電壓源經歷了電阻分壓式基準電壓源、PN結基準電壓源、擊穿二極管基準電壓源、自偏置電路電壓源的發(fā)展。以上各種基準電壓源中,電阻或有源器件直接分壓形成的基準不能獨立于電源,精度非常低。

1971年,Robert Widlar提出了一種帶隙參考電壓源技術。該技術可得到一種不依賴電源并幾乎與溫度無關的獨立基準,可在低電源電壓下工作,并與標準CMOS工藝兼容這些優(yōu)點使其獲得了廣泛的研究和應用,也是本次設計采用的技術。圖1是帶隙基準電源的基本原理圖。

利用熱電壓VT的正溫度系數與雙極型晶體管的基極-發(fā)射極電壓VBE的負溫度系數相互補償,以減小溫度漂移。其中VBE的溫度系數在室溫時大約-2mV/℃;而熱電壓VT=KT/q,其溫度系數在室溫下大約為+0.085mV/℃。將電壓VT乘以常數K以后與電壓VBE相加,便可得到輸出電壓VREF為:

即理論值K≈23.26,它使得帶隙基準電壓的溫度系數值在理論上為零。由于VT與電源電壓無關,而VBE受電源電壓變化的影響很小,故VREF受電源電壓的影響也很小。

帶隙基準電壓源經歷了從Widlar帶隙基準電壓源、Brokaw帶隙基準電壓源、傳統(tǒng)典型的帶隙基準電壓源及基于PTAT(proportional to absolute temperature)的帶隙基準電壓源、CMOS帶隙電壓基準源電路的發(fā)展,能夠輸出比較精確的電壓,但其電源電壓高,其基準輸出范圍及各項性能有限,故要得到高精度低漂移的寬電源電壓集成電壓基準源,就必須對以上電路在結構上進行改進和提高。

2.2 寬電源電壓集成電壓基準源的設計

圖2所示為帶隙基準電壓源電路基本結構框圖,它主要由五部分組成[7]:

1)帶隙電壓內部環(huán)路—主要功能是產生帶隙電壓。

2)運算放大器—使帶隙電壓內部環(huán)路中兩個需要具有相同電壓的點穩(wěn)定在相同的電壓。

3)輸出級—用來產生最終的帶隙基準參考電壓和電流。

4)啟動電路—主要功能是確保電路在上電的時候能夠進入正常的工作狀態(tài)。

5)偏置電路—為運算放大器的工作提供偏置電流。

本文所涉電路采用6μm標準雙極型工藝實現,實現了一種基于曲率補償,具有高穩(wěn)定性的帶隙基準電路。本文在分析比較各種基準電壓源性能的前提下,最終選擇了以基于PTAT(與絕對溫度成正比)改進的帶隙基準源電路作為設計的基礎,并對其原理進行了詳細的分析。為了進一步提高基準電壓源的性能,在深入研究溫度和電源電壓的變化對帶隙基準電路穩(wěn)定性影響的基礎上,指出基極一發(fā)射極電壓與溫度的非線性關系是造成基準不穩(wěn)定的主要原因,針對這種情況,采用了環(huán)路補償方法來進行高階溫度補償:利用環(huán)路補償電流(INL)的非線性特性去補償基射結電壓(VBE)的非線性。并且將補償電流(INL)和與絕對溫度成正比的電流(IPTAT)直接相加實現了很好的補償。不僅結構簡單還獲得了較好的溫度系數。另外,對所采用的運算放大器、啟動電路和溫度保護電路也進行了研究,并設計了優(yōu)化合理的電路結構。分塊對帶隙基準核心電路、曲率補償電路、運算放大器電路、偏置電路、啟動電路進行設計并仿真。所設計的整體電路圖如圖3所示。

其中(a)為帶隙基準核心電路,(b)為運算放大器電路,(c)為曲率補償電路,(d)為偏置電路,(e)為啟動電路,(f)為輸出級。

3.仿真結果及分析

在Cadence設計平臺下的Spectre仿真器中基于6μm標準雙極型工藝模型對電路進行了仿真。得到電路的直流電壓特性曲線、溫度特性曲線、電源電壓抑制比曲線、負載調整率曲線、噪聲特性曲線、啟動時間曲線,如同4所示。

4.結論

本文通過對帶隙基準電壓源深入的理論研究,完成了全雙極性帶隙基準電壓源的設計,該基準電壓源基于雙極型工藝,通過Spectre驗證,溫度系數僅為6ppm/℃,并具有78?V/V的電源電壓調整率以及高達78dB的交流PSRR,高精度,低噪聲和驅動能力強等特性。其中各項設計指標完全達到預期要求,具有一定的優(yōu)點和實用價值。

參考文獻

[1]孟波,鄒雪城,孟超.一種高性能CMOS基準電壓源電路設計[J].微電子學與計算機,2003(8):161-162.

[2]孫順根,吳曉波,王旃等.一種高精度CMOS能隙基準電壓源[J].微電子學,2003,33(2):157-159.

[3]彭增發(fā),黃晟,毛友德等.一種新型的高噪聲抑制比及高溫度穩(wěn)定性的基準電壓產生器[J].微電子技術,2003,33 (3):51-55.

[4]P.E.Allen,D.R.Holberg.CMOS Analog Circuits Design[M].(2nd).NewYork,USA:Oxford University Press:2002.

[5]Philip E.Alen Douglas R.Holberg.CMOS Analog Circuit Design[M].Publishing House of Electronics In dustry,2005.

第3篇:模擬集成電路的分析與設計范文

國際市場將回暖?

2007年,全球半導體產業(yè)繼續(xù)疲軟。

雖然2006年底和2007年初,國內外各大業(yè)內機構對2007年全球半導體市場都有一個相對樂觀的預測,普遍認為2007年度全球市場的增長率將在5%~10%之間,但根據世界半導體貿易統(tǒng)計組織(World Semiconductor Trade Statistics,WSTS)公布的數據,2007年第一季度半導體總體的銷售額僅比去年同期增長3.2%,整個產業(yè)有了一個“災難性”的開頭。

“加之DRAM價格大幅下降、芯片產能過剩,以及模擬集成電路市場的不景氣,目前來看,2007年市場的增長率將在5%以下?!辟惖项檰柊雽w產業(yè)研究中心咨詢師楊斌表示。

2007年市場預測的不再樂觀,似乎為2008年的全球半導體產業(yè)發(fā)展蒙上了陰影。

然而,盡管全球半導體市場的增長態(tài)勢并不盡如人意,但從整體來看,至少有兩點值得強調。一方面,伴隨著全球終端市場需求的持續(xù)走高,半導體產業(yè)的推動力已由PC轉向消費電子,尤其是便攜式電子產品。在這種需求的引導下,無線收發(fā)芯片、電源管理芯片、音視頻解碼芯片、存儲芯片等的出貨量必將有較大幅度增加。

另一方面,整個半導體行業(yè)正向更加集中和精細的方向發(fā)展,并將推動新一輪的技術創(chuàng)新。自恩智浦(NXP Semiconductors)巨資并購Silicon Labs手機芯片部門、巨積(LSI)合并Agere、意法半導體收購諾基亞3G手機芯片設計部門和一直虧損的視頻芯片提供商Genesis,到最近的安森美半導體宣布以價值9.15億美元的股票收購AMI半導體的母公司AMIS Holdings,2007年幾乎所有的半導體巨頭都在全球范圍內以不同的方式加大并購整合力度,以降低成本,強化自身技術優(yōu)勢,應對產業(yè)的持續(xù)低迷,從而也奠定了2008年產業(yè)發(fā)展的基本格局。

基于此,Future Horizons預計,2008年半導體市場的銷量增幅為10%,產品平均價格將上浮2%。“從2007年第三季度開始,半導體整體銷售狀況開始復蘇,表明產業(yè)銷量下滑的主要原因是結構性的市場調整,并非整個產業(yè)的全面衰退?!盕uture Horizons首席分析師馬爾科姆•佩恩表示:“這一區(qū)別非常重要。因為通常情況下市場在結構調整后很快會出現反彈,而在產業(yè)全面衰退后的復蘇則需要更長時間?!?/p>

當然,全球半導體市場可能在短期內仍會處在一個相對的低潮期。至少,隨著并購風潮的逐漸平息,半導體公司的股價需要擠出不應有的水分。但“預計2008年全球半導體產業(yè)銷售可望增長約10.2%,且不排除再現景氣高峰”。臺灣半導體產業(yè)協(xié)會(TSIA)于近日宣稱。

國內市場延續(xù)舊格局?

回望國內。受多方面因素影響,2008年國內半導體市場的發(fā)展相對前幾年雖有所減緩,但增速仍將遠高于全球平均水平。

楊斌表示:“雖然政府一直大力支持集成電路產業(yè)的發(fā)展,但未來的集成電路市場已很難再現30%以上的增長率。2007年國內集成電路市場增長率預計為22.7%。2008年將在此基礎上逐漸趨于平穩(wěn),而且未來幾年隨著產業(yè)的更加成熟,波動的幅度會越來越小?!?/p>

他認為,其中制約增長最大的因素就是國際電子制造業(yè)向我國轉移的規(guī)模已越來越小。根據國家統(tǒng)計局的統(tǒng)計,截至2007年10月,通信設備、計算機及其他電子設備制造業(yè)的增長率為20%左右,而電子信息產品制造業(yè)市場的增長將直接刺激上游半導體市場的發(fā)展。其次是我國的各種整機產量在經歷了多年高速增長之后,也呈現出飽和趨勢,雖然仍有一定的增長,但增長速度逐步趨緩,導致集成電路用量的下降。此外,集成電路,尤其是中低端模擬集成電路價格的下降也是影響未來集成電路市場增長的一個因素。

而增長的動力首先源于國家政策的支持。2007年,《軟件與集成電路產業(yè)發(fā)展條例》已被列入國家二類立法計劃,預計2008年將正式出臺?!芭c18號文不同,這次將要出臺的是一個全面的扶持政策,主要包含研發(fā)基金、稅收優(yōu)惠、人才培養(yǎng)、收入分配和進出口、融資等方面內容,必將極大地推動我國半導體事業(yè)的發(fā)展?!庇嘘P專家表示。

第4篇:模擬集成電路的分析與設計范文

關鍵詞:CMOS帶隙基準;低溫度系數;電源抑制比

中圖分類號:TN710

文獻標識碼:B

文章編號:1004―373X(2008)04―004―02

1 引 言

基準電壓源廣泛應用于電源調節(jié)器、A/D和D/A轉換器、數據采集系統(tǒng),以及各種測量設備,其精度和穩(wěn)定性直接影響整個電路系統(tǒng)的精度和穩(wěn)定性。基準源有很多種,其中,帶隙基準源憑借其低溫度系數、高電源抑制比、低基準電壓,以及長期穩(wěn)定等優(yōu)點,得到了廣泛的應用。近年來,模擬集成電路設計技術隨著工藝技術一起得到了飛速的發(fā)展,電路系統(tǒng)結構進一步復雜化。這對模擬電路基本模塊的電壓、功耗、精度和速度等,提出了更高的要求。傳統(tǒng)的帶隙基準源電路結構逐漸難以適應設計需求。本文在分析傳統(tǒng)帶隙基準原理基礎上,基于傳統(tǒng)的帶隙基準結構,重點改善基準源中運算放大器的性能,并對基準絕對數值進行補償,設計了一種低溫漂、高電源抑制比的基準電壓源電路。該電路帶有啟動電路和電流補償電路,采用差分放大器作為基準源的負反饋運放,放大器的偏置電流由放大器自身的輸出產生,提高了電源抑制比,直接對基準輸出做溫度補償和電流漂移補償,靜態(tài)電流約為10μA,溫度在0~100℃之間變化時溫度漂移不超過10 ppm/℃。

圖2為傳統(tǒng)帶隙基準源的基本結構,這種結構對放大器精度和對稱性要求較高,另外運放的失調電壓會影響基準源的精度。失調電壓與溫度和電源電壓有關,是基準源理論值與實際值之間誤差的主要來源。失調電壓的主要來源于晶體管之間不匹配、運放輸入級MOS管預置電壓不匹配、運放的有限增益等。針對上述問題,本文提出一種帶隙結構,重點改善基準源中運算放大器的性能,其中為了減小運放失調電壓對基準源的影響,采用差分運放、提高運放增益、加入反饋減小失調電壓,從而提高電壓基準源的精度,并對基準絕對數值進行補償,設計一種低溫漂、高電源抑制比的基準電壓源電路。

3 電路設計及工作原理

如圖3所示,帶隙基準源電路帶有啟動電路和反饋電路,采用差分放大器作為基準源的負反饋運放,放大器的偏置電流由放大器自身的輸出產生,直接對基準源輸出做溫度漂移補償,提高了電源抑制比。

由式(6)可見,環(huán)境溫度在0~100℃之間變化時,該電路輸出電壓溫度系數小于10 ppm/℃。

第5篇:模擬集成電路的分析與設計范文

關鍵詞微電子技術;課程建設;實驗教學

中圖分類號:G434文獻標識碼:A

前言微電子技術是現代電子信息技術發(fā)展的重要前沿領域,取得了很好的經濟和社會效益。微電子技術的發(fā)展和應用為促進了電子產品設計及制造領域的變革。微電子技術是以半導體工藝為設計載體,通過器件電路或者硬件描述語言描述硬件電路的連接,再利用專業(yè)的開發(fā)和設計仿真軟件進行工藝仿真、電路仿真和版圖設計,最終完成半導體工藝流程、電路硬件集成。在實訓教學的過程中,容易將學生帶入到工作環(huán)境的實景,能夠提高學生主動學習的興趣,激發(fā)學生的求知欲。在微電子技術的實訓教學過程中,利用設計輔助軟件讓學生加深對專業(yè)理論知識的深度理解,通過實訓內容的合理安排,驗證所學的專業(yè)知識,掌握設計方法和實現手段,從而達到理論和實踐有機結合的教學目的,實現本專業(yè)學生素質教育培養(yǎng)的最終目的。

1現階段微電子技術教學模式分析

微電子技術具有抽象、層次化、流程復雜的特點,在教學過程中,應該根據微電子技術的特點,在器件模型、硬件描述語言、配套軟硬件、實驗內容及課程內容設置等幾個方面進行課程教學的改革。

目前,微電子技術的實訓教學,主要圍繞集成電路工藝、硬件描述語言、可編程器件等環(huán)節(jié)開展。硬件描述語言具有設計靈活、電路設計效率高的特點。大規(guī)??删幊踢壿嬈骷ㄟ^編程來實現所需的邏輯功能,與采用專用集成電路設計方法相比,具有更好的設計靈活性、設計周期短、成本低、便于實驗驗證的優(yōu)勢,在實訓環(huán)節(jié)得到了廣泛的采用?,F場可編程門陣列(FieldProgrammableGateArray,FPGA)能夠提供更高的邏輯密度、最豐富的特性和極高的性能,因此,數字集成電路的實訓內容,主要圍繞FPGA的內部結構以及資源分布做相應介紹。

微電子技術的實訓教學在本科教學中具有極強的實踐特點,尤其是作為電子科學本科教學,對學生的電子設計思維模式的構建有著重要作用。實踐教學離不開大量的實訓反饋。目前大多數高校微電子技術的授課課時數一般安排為48課時,其中實驗課占10課時,實踐課和理論課的課時數比例約為1:3.8,且課程多安排在三年級。從課時安排來看,存在重理論輕實踐的弊端,容易讓學生產生盲目應試的想法,導致學生只注重考試,而忽略了至關重要的實踐環(huán)節(jié)。另外,微電子技術課程最好作為專業(yè)基礎課程,為學習其它多門課程打下良好基礎。在微電子技術課程開展教學和實訓的時候,最好與學生的其它專業(yè)實習的時間錯開,讓學生能夠更加專心對待,避免專業(yè)知識和概念的混亂。如果將微電子技術課程課實訓安排在四年級第一學期,非常容易與畢業(yè)實習、求職環(huán)節(jié)發(fā)生沖突,導致學生對微電子技術課程和實訓內容認知不足,倉促應付課程和實訓內容,不利于對學生電子設計能力的培養(yǎng),也會降低學生的就業(yè)競爭能力。

微電子技術的實訓環(huán)節(jié)對于本科生而言,會給學生產生軟件編程的想法,不能真正將電路設計的理念深化,會造成實驗內容的創(chuàng)新性不夠,教學成果難以達到預期。

2微電子技術實踐環(huán)節(jié)教學

本課題對現階段微電子技術課程和實訓環(huán)節(jié)做了深入分析,總結了教學過程中存在的問題及改進需求,對未來的微電子技術實訓教學模式進行的理論和實踐探索。自動化設計軟件是的設計人員可以在計算機上完成很多復雜計算工作。微電子技術軟件通常在服務器或者多線程工作站運行,自動化程度很好,具有很強大的功能和豐富的界面。在高校中開展的微電子設計類實訓課程是一門實踐性很強的專業(yè)基礎性課程,既可以由學生獨立完成,也可以設計成分工協(xié)作的實驗項目。

為了提高學生對微電子技術的理解和設計能力的掌握,微電子實訓由32個課時組成,其中課內實驗分配了16學時、微電子設計實訓分配16學時,重點提高學生的動手能力和主動思考能力,激發(fā)學生的創(chuàng)新思維。

2.1課內實驗設計

微電子技術課程的課內實驗包含基礎驗證性實驗和研究型實驗,其目的是掌握基本的硬件描述語言的編程方式及技巧,并能夠采用模擬器件設計模擬集成電路,讓學生能夠具備獨立設計集成電路的能力,熟悉集成電路設計計算機輔助設計手段,結合以往的電子電路知識,完成基本器件的設計和調用。

課內實驗設計以工藝器件仿真、電路設計仿真手段為主,利用準確的工藝和器件模型,準確模擬集成電路工藝的流程和半導體器件的電學特性。軟件仿真已經成為新工藝、新器件、新電路設計的重要支撐手段,可以在短時間內建立實驗環(huán)節(jié)、調節(jié)參數、修改電路結構,彌補實驗室硬件投入不足以及對多種實驗室耗材的依賴,有利于學生建立系統(tǒng)性的知識結構。另外微電子技術的課內實驗也包含綜合性實驗環(huán)節(jié),通過調用基本功能模塊,設計一個適當規(guī)模的數?;旌霞呻娐罚岣哒w電路的綜合性能指標,實現良好的信號控制和傳輸,提高學生的綜合設計能力。

例如,半導體工藝演示實驗可以快速呈現不同工藝流程和工藝環(huán)境對工藝結果的影響,能夠設定不同的偏置條件來研究器件的能帶、電場、載流子濃度分布、伏安特性等內部特征,避免惡劣繁雜的對物理過程的解析建模,具有直觀和形象的特點,加深學生對理論知識的理解和提高學習的積極性??梢葬槍Τ墒旃に嚕梅抡孳浖M行器件和電路設計。實際過程中,參照經典的器件結構和電路模塊單元,開展新特性、新功能的設計性實驗,鍛煉學生綜合知識的能力,面向工程實踐,對專業(yè)知識進行融會貫通。這個過程需要授課教師根據學生的已開設課程和知識結構來編寫適宜的實驗輔助教材,對實驗內容進行精巧的設計及和細致地指導。

2.2實訓環(huán)節(jié)設計

微電子技術實訓環(huán)節(jié)旨在鍛煉學生的實踐動手能力,掌握集成電路設計開發(fā)流程,能夠根據系統(tǒng)的性能指標進行分層分級設計,根據硬件電路的額性能特點來構建規(guī)?;娐?。在實訓環(huán)節(jié)中,強調綜合設計能力的培養(yǎng),利用微電子設計的計算機輔助設計工具完成一定規(guī)模電路的設計、仿真、版圖設計、版圖檢查等環(huán)節(jié)。通過微電子技術實訓環(huán)節(jié)的練習,學生能夠培養(yǎng)獨立設計能力、系統(tǒng)分析能力、電路綜合能力等,為將來進入研發(fā)設計類型的工作崗位打下堅實的基礎。

對實訓環(huán)節(jié)的考核,采用大作業(yè)或者設計報告的形式,讓學生通過查閱參考文獻進行設計選題,發(fā)揮學生的主觀能動性。通過對參考文獻的參考和綜述,掌握課題的結構和流程設計,充分了解系統(tǒng)的模型,理解各模塊對系統(tǒng)設計的影響。實訓環(huán)節(jié)是的一次較為系統(tǒng)的設計方法訓練,不僅可以鞏固課堂和教材上的內容,還可以引入實際工程系統(tǒng)的指標要求,鍛煉學生的綜合規(guī)劃和設計能力。

3微電子技術教學改革實施效果

通過微電子技術的教學和實訓模式的改革,在實踐中積極總結得失,發(fā)現微電子技術的教學該給能夠幫助學生提高微電子設計的專業(yè)素養(yǎng),主要體現在以下方面:

1)學生對微電子技術課程內容的理解程度大幅提高,原先學生對課本的知識抱有敬畏的心理,在課程和實踐環(huán)節(jié)之后,都產生了很大程度的自信。微電子技術課程、實驗、實訓考核成績的優(yōu)秀率也大大提高,表明通過微電子技術的教學和實踐改革,學生能夠比較好地掌握課程大綱所要求的內容。

2)通過細致地設計實踐環(huán)節(jié),能夠調動學生學習專業(yè)知識的積極性,實驗項目的完成情況比較理想,報告內容的撰寫也更加細致、全面。

3)通過綜合設計實驗和實訓,讓學生勤于動腦,在多種手段和方法中,尋找最優(yōu)的方案,優(yōu)化設計過程。

4結束語

第6篇:模擬集成電路的分析與設計范文

關鍵詞:《電子線路》;課程改革;實踐教學

《電子線路》是一門技術基礎課,是為專業(yè)課學習打基礎的,起到承上啟下的作用。但是,高職院?!峨娮泳€路》課程教學普遍存在著以下幾個問題:(1)現行《電子線路》教材內容落后,以分離元件電路分析為主,集成電路介紹為輔的課程體系遠不能適應目前電子設備中集成電路大量推廣使用的客觀現實。(2)高職院?!峨娮泳€路》教材理論水準定位偏高,給自學能力、理解能力和知識接受能力還不強的職校生帶來了困難。(3)教學過程中重理論、輕實踐,重系統(tǒng)知識、輕專業(yè)需要和知識應用的問題表現得十分突出,這和職業(yè)教育要從學科型轉到職業(yè)能力型的要求相矛盾。因此我們要從教學思想、教材體系、教學內容、教學方法等各方面進行課程改革,以便建設《電子線路》新的課程體系。那么,如何使《電子線路》課程的教學更加符合培養(yǎng)學生職業(yè)能力的要求呢?下面結合教學實踐談談《電子線路》課程改革的基本思路。

適當降低理論深度,突出物理概念,理論聯(lián)系實際,使課程內容和體系更好地為高職院校教育這一層次服務高職院校培養(yǎng)的是懂技術、懂管理、能操作的應用型高級人才。在知識結構方面,基礎理論要少而精。然而就目前采用的全國統(tǒng)編《電子線路》教材來看,教材內容和結構基本上沒有擺脫大學教材的框架,某種意義上可以說是大學教材的濃縮,內容一般都較深,理論水準定位偏高,使得很多學生對教學內容難以理解消化。加之學生在前面課程的學習中形成了嚴格分析與計算的習慣,《電子線路》課上第一次碰到工程估算的概念,很不適應,于是學不懂《電子線路》的內容,造成了被動局面。因此必須降低教材的理論深度,對內容合理取舍、深入淺出,突出物理概念。對課程中有些較為復雜的公式,在講清物理意義的前提下可不作具體的推導,使教材內容和學生的知識能力相適應。對傳統(tǒng)的分析方法應有取舍,如三極管的電流放大作用,統(tǒng)編教材上沿用大學教材模式,從三極管內部載流子運動過程進行分析,學生很難理解。若采用三極管的電流分配關系進行分析,內容篇幅少了很多,學生對三極管的電流放大作用的概念就很容易接受。再如適用于分離元件功放電路的圖解法,負反饋放大器的開閉環(huán)算法等,可不再作為主要的電路分析法。對于像二極管整流,調諧放大器,功率放大器等內容,若能理論聯(lián)系實際,結合一些生產實例講授教學內容及其應用,還能起到事半功倍的效果。

緊跟電子技術的發(fā)展,改革課程內容和體系從教學法的觀點來看,課程體系恰當與否直接影響教學質量的好壞。一個好的課程體系,不僅要符合初學者的認識規(guī)律,而且應當注意經典理論與先進科技的適當結合。從上世紀60年代集成電路問世起,隨著電子技術的飛速發(fā)展,模擬集成電路塊的種類愈來愈多,功能愈來愈強,在許多電子設備中已經改變了用分離元件組裝電子線路的傳統(tǒng)作法。這就給電子技術人員的培訓方法及要求帶來新的要求,過去要求技術人員根據輸入和輸出條件逐級分配指標、逐級設計的傳統(tǒng)方法,而現在取而代之的是正確選擇和使用集成電路塊及其附加電路和接口電路等。因此,《電子線路》課程應在確保基礎的前提下,跟蹤電子技術的發(fā)展,建立以集成電路為主干的新課程體系,例如在講好半導體基本知識和講清基本放大電路概念的基礎上,圍繞著模擬集成電路講分離元件電路??梢赃m當壓縮一些內容,如多級放大器的分析與設計、放大器微變等效電路分析法等。像負反饋放大器的分析、功率放大器等內容可以借助于集成電路進行討論。從而用較多的時間去培養(yǎng)和提高學生的實踐動手能力。

根據專業(yè)教學需要,專業(yè)工作需要和崗位職業(yè)能力的需要,確定課程教學內容傳統(tǒng)的教學模式是先有教材,教師根據教材編寫授課計劃,講授學科內容。編寫教材一方面要兼顧學科的系統(tǒng)性、完整性,同時又要考慮發(fā)行后教材使用的廣泛性,這樣的教材針對性自然不強。各專業(yè)對《電子線路》課程內容及深淺程度要求不盡相同,兩者差異的存在使得授課內容一定意義上脫離了專業(yè)需要,專業(yè)必需的內容沒有講,客觀上造成人力、物力的浪費和一些必需知識的殘缺。畢業(yè)生常感到“在學校學習的某些知識在工作中根本用不上”。許多畢業(yè)生不能很快上崗操作,需要一個較長的適應期。這從一個側面反映教學與崗位工作相脫節(jié),學生的專業(yè)能力不適應工作崗位的需求,甚至滯后于科技的發(fā)展,所以在《電子線路》的教改中,我們應瞄準崗位目標,實施能力教學,不要過多地考慮知識容量,而以學生學習專業(yè)課和畢業(yè)后直接從事崗位需求為準講授課程內容。以下幾點在教學過程中值得考慮:(1)建立以職業(yè)能力為中心的課程體系,要針對培養(yǎng)職業(yè)能力的目標來定教學內容和教學大綱,要深刻理解專業(yè)課培養(yǎng)學生職業(yè)能力目標。針對不同專業(yè)制定《電子線路》所需要掌握的內容。(2)在給各專業(yè)班級分配任課教師時,一定要考慮該教師所學或者從事過的專業(yè),盡可能做到專業(yè)與教學內容對口。(3)積極創(chuàng)造條件,爭取在三到四年內讓每位任課教師參加一定的專項生產實踐,以便更好地熟悉專業(yè)及其發(fā)展趨勢。(4)《電子線路》課程的各任課教師要經常和與其他專業(yè)課教師座談,及時掌握專業(yè)課教學對《電子線路》的要求,并結合專業(yè)課的實例來充實教學內容。

加強工程實踐訓練,實行三層次實踐教學《電子線路》課是實踐性很強的技術基礎課,對于培養(yǎng)和提高學生實踐動手能力,突出高職院校辦學特點具有顯著的作用,因此必須加強實踐教學環(huán)節(jié)。受過去學科型教育思想的影響,長期以來《電子線路》實驗依附于《電子線路》理論教學,實驗只是作為驗證理論的補充,而不是作為培養(yǎng)能力的重要途徑。實驗教學以二多(分離元件實驗多,驗證性實驗多)、二少(實驗課時少,實驗占本課程成績比例少)為主要特點。多數學生不重視實驗,往往是一看(看實驗指導書)、二抄(抄實驗數據)、三完成(完成實驗)。這幾年許多學校進行了實驗教學改革,適度增加了實驗課時,增多集成電路實驗次數,實驗單獨設課,這些都在一定程度上提高了實驗課的教學質量,加強了對學生能力的培養(yǎng)。但要從根本上提高學生的實踐動手能力,還是要從實驗課內容和教學方法上進行改革,其立足點是“學”而不是“教”,根本目的在于創(chuàng)造一個良好的教學環(huán)境,組織吸引學生積極主動地參與實驗教學過程,變被動實驗為主動實驗,激勵學生自主實驗學習,讓他們在實驗學習中學出興趣,獲得知識。三層次實踐教學正是基于這樣的考慮而設計的。所謂三層次實踐教學就是把實驗內容分為基本實驗、提高性實驗、綜合練習三個層次,各層次的實驗內容,要求及指導方法由淺入深,由易到難,以符合學生的認識規(guī)律,對基本技能、基本方法做到反復練習,做到“熟能生巧”?;緦嶒炇菍W生實驗基本理論、基本方法、基本技能等“三基”進行初步訓練??砂才旁谇懊骝炞C理論的五個實驗中,內容包括常用儀表練習,常用元器件的識別、測試與應用,基本放大電路和運算放大電路的靜態(tài)、動態(tài)測試,測量數據的處理、誤差分析等。這樣安排便于學生入門,提高其實驗興趣,同時也為以后實驗打下基礎。電子儀表是電子實驗的工具,一定要熟練掌握其實驗方法,放大器的靜態(tài)、動態(tài)測試是電子實驗的基礎也要反復練習,掌握各種測試方法和技巧。提高性實驗安排在基本實驗之后的其他十個實驗中,內容有研究特性指標、分析與排除故障、焊接與調試電路,目的是進一步加強和提高學生實驗的“三基”。這時實驗講義可根據需要寫得簡明扼要,對一些基本要求安排得多一些,并且反復練習。有些內容如焊接實驗電路板、擬定實驗步驟、設計實驗記錄表格可在不同的實驗中讓學生完成其中的一項或兩項。綜合練習安排在期末,集中一段時間(三周或四周)進行,以培養(yǎng)技術人員應有的能力為主要任務,對學生進行綜合練習,在動手與動腦的協(xié)作中完成知識技能的結合,使之成為課程的綜合能力。具體有以下幾個方面:讀識繪制電路圖的能力;查閱技術資料的能力;選用器件和電路,分析估算電路的能力;搭接調試電路的能力;分析排除故障的能力;制作電路產品,解決工藝問題的能力。綜合練習教師只需給出練習課題和技術指標,其他具體工作如查資料、定方案、選擇電路、制作印刷電路板、選擇儀表、組織實驗、分析實驗結果等則是在教師的指導下由學生獨立完成。綜合練習課題選擇得是否適當直接關系到它的教學效果,在選題時既要考慮所選課題應能使學生綜合應用所學理論和技能,達到培養(yǎng)能力促進理論學習,促進技能訓練的目的,又要考慮學校的實際條件和學生的知識能力。注意不能脫離學生的原有實驗基礎,避免綜合性太強、太復雜,應使絕大多數學生經過努力可以在規(guī)定的時間內完成為標準。

當然,要保證課程改革順利進行,只有正確的改革思路還不夠,還需要一定的輔助條件作保證,如:(1)課時分配。目前高職院?!峨娮泳€路》課程中理論課時比較多,實踐課時比較少,在以能力為本位的新體系中,我們要在“必需、夠用”的前提下,精講理論,以便拿出更多的時間去加強實踐教學。(2)教師業(yè)務能力的提高?,F在任教《電子線路》課程的教師大都是大學或研究生畢業(yè)后直接分配到高職院校的,他們理論水平較高,實踐動手能力較差,不利于培養(yǎng)學生的動手能力,需要學校創(chuàng)造條件,逐步提高教師的實踐動手能力。(3)課程改革與專業(yè)改革的相容性。要提高學生能力,滿足崗位需求,單靠改革《電子線路》一門課程是不可能實現的,只有把《電子線路》課程改革和專業(yè)結構及專業(yè)課程改革結合起來同時進行,學生的專業(yè)能力才能提高,才能滿足崗位需求。

參考文獻

[1]康光華.電子技術基礎(第三版)[M].北京:高等教育出版社,1995.

[2]任為民.電子技術基礎課程設計[M].北京:中央廣播電視大學出版社,1997.

第7篇:模擬集成電路的分析與設計范文

關鍵詞:快速啟動電路;欠壓保護;遲滯電壓

中圖分類號:TN432 文獻標識碼:A 文章編號:1674-7712 (2014) 12-0000-01

欠壓保護也稱低電壓保護[1,2],是指集成電路中由于某種原因使得電源電壓值降低到一定的極限值時,欠壓保護電路能夠檢測到電源電壓較低,將芯片關斷并保持與電源的切斷狀態(tài),待電源電壓恢復到一定的大小時,芯片可恢復工作。

欠壓保護電路是集成電路設計中必不可少的模塊,是保證系統(tǒng)在工作環(huán)境異常情況下能夠保持系統(tǒng)穩(wěn)定的基礎。傳統(tǒng)的欠壓保護電路[3]利用電阻對電源電壓進行分壓,將分壓后得到的電壓與參考電壓通過遲滯比較器進行比較,從而檢測電源電壓是否欠壓。因此,欠壓保護電路需要外部參考電壓,電路的獨立性較差;同時,引入遲滯比較器和電阻分壓電路,使得電路結構變得復雜,也增大了電路的面積。

本文提出一種新型欠壓保護電路,電路不需要使用外部參考電壓[4]和遲滯比較器[5],利用一種類似于帶隙基準PTAT電流源的電路結構完成對電源電壓的檢測和比較;同時,巧妙地利用負反饋實現了電路對電壓檢測的遲滯功能;最后,電路設計了提高電路啟動速度的單元模塊電路,確保了欠壓保護電路在電源電壓恢復正常后電路能夠迅速正常工作。

一、欠壓保護電路分析與設計

新型欠壓保護電路的原理如圖2所示,電路主要由三部分組成:類帶隙基準PTAT電流源的電壓檢測電路;負反饋電路構成的遲滯電路模塊;快速啟動電路。其中,電壓檢測電路由三極管Q1、Q2,電阻R1、R2、R5、R6、R7和MOS管MP1、MP2、(一)核心電路工作原理

在圖2所示的新型欠壓保護電路中,三極管Q1、Q2和電阻R1、R2構成類似于帶隙基準電壓源的欠壓保護電路核心部分。三極管Q1的面積為Q2的n倍,三極管Q1和Q2的基極電位為電源電壓經過分壓后得到的電壓VO1。

當電源電壓從零開始上升并達到一定的值之后,三極管Q1和Q2打開并流過電流,MOS管MP1、MP2,電阻R1、R2組成的電路正常工作。

(二)遲滯原理

為了避免當電源電壓大小在翻轉閾值電壓附近周圍變化時,欠壓保護電路的輸出結果在翻轉閾值周圍出現反復高頻變化,電路引入了正反饋電路,NMOS管MN3隨著輸出電平的高低導通或者關斷。

當電源電壓較低,輸出電平為低電平時,NMOS管MN3導通,此時

當VREF具有零溫度系數時,遲滯電壓 也具有零溫度系數,這也是本電路的優(yōu)點之一。

(三)快速啟動電路原理

在集成電路的設計中,欠壓保護電路作為集成電路的保護單元模塊,必須在電路整體啟動之前工作,因此保護電路的啟動速度必須得到重視。在以往的欠壓保護電路的設計中,一般只關注保護電路的自啟動問題,而忽略保護電路啟動速度的分析和優(yōu)化。

新型欠壓保護電路在不需要使用外部參考電壓的同時,優(yōu)化了電路的自啟動過程。當電源電壓VDD由低電平逐漸上升至高電平時,三極管Q3的基極與三極管Q4的集電極電位也隨之上升,三極管Q3優(yōu)先于三極管Q1和Q2導通,使得MP1柵極電位隨之下降。當電源電壓上升至一定大小時,Q1和Q2開始工作,MP1導通,電路正常工作,三極管Q3和Q4加快了電路寄生電容存儲電荷的泄放速度,加快了電路的啟動速度。同時,當電路正常工作時,Q1發(fā)射極電壓VE1上升,三極管Q3隨之關閉,快速啟動電路不再影響電路正常工作。

二、仿真結果與分析

三、結束語

本文設計的欠壓保護電路,充分利用了類帶隙基準PTAT電流源結構中電源電壓大小對PTAT電流大小的影響,完成了電源電壓的檢測功能;利用電阻分壓原理來調整欠壓保護閾值,通過調節(jié)電阻分壓電路從而調整遲滯電壓閾值的大??;同時,優(yōu)化了啟動電路設計,電路具有啟動時間短的優(yōu)點。該電路使用較少的器件完成了整個電路的設計,在滿足高檢測精度的同時降低了功耗。

參考文獻:

[1]王銳,唐婷婷.一種BICMOS欠壓保護電路的設計[J].電子科技,2006(10):76-78.

[2]王智鵬,楊虹.一款無電壓比較器的欠壓保護電路[J].電子世界,2012(07):51-52.

[3]趙春波,許偉,吳玉廣.一種CMOS欠壓保護電路的設計[J].集成電路與元器件,2004(10):172-174.

[4]錢金川,朱守敏.一種過壓欠壓及延時保護電路的設計[J].機床電器,2008(01):57-59.

[5]鄒雪城,韓俊峰.一種基于比例電流的欠壓保護電路的設計和實現[J].華中科技大學學報(自然科學版),2007(35):64-66.

[6]Allen P E,Holberg D R.CMOS模擬集成電路設計[M].北京:電子工業(yè)出版社,2005.

[7]Gray P R,Meyer R G.Analysis and Design of Analog Integrated Circuits[M].John Wiley,2001.

第8篇:模擬集成電路的分析與設計范文

預計在未來10到20年,微電子器件抗輻射加固的重點發(fā)展技術是:抗輻射加固新技術和新方法研究;新材料和先進器件結構輻射效應;多器件相互作用模型和模擬研究;理解和研究復雜3-D結構、系統(tǒng)封裝的抗輻射加固;開發(fā)能夠降低測試要求的先進模擬技術;開發(fā)應用加固設計的各種技術。本文分析研究了微電子器件抗輻射加固設計技術和工藝制造技術的發(fā)展態(tài)勢。

2輻射效應和損傷機理研究

微電子器件中的數字和模擬集成電路的輻射效應一般分為總劑量效應(TID)、單粒子效應(SEE)和劑量率(DoesRate)效應。總劑量效應源于由γ光子、質子和中子照射所引發(fā)的氧化層電荷陷阱或位移破壞,包括漏電流增加、MOSFET閾值漂移,以及雙極晶體管的增益衰減。SEE是由輻射環(huán)境中的高能粒子(質子、中子、α粒子和其他重離子)轟擊微電子電路的敏感區(qū)引發(fā)的。在p-n結兩端產生電荷的單粒子效應,可引發(fā)軟誤差、電路閉鎖或元件燒毀。SEE中的單粒子翻轉(SEU)會導致電路節(jié)點的邏輯狀態(tài)發(fā)生翻轉。劑量率效應是由甚高速率的γ或X射線,在極短時間內作用于電路,并在整個電路內產生光電流引發(fā)的,可導致閉鎖、燒毀和軌電壓坍塌等破壞[1]。輻射效應和損傷機理研究是抗輻射加固技術的基礎,航空航天應用的SiGe,InP,集成光電子等高速高性能新型器件的輻射效應和損傷機理是研究重點。研究新型器件的輻射效應和損傷機理的重要作用是:1)對新的微電子技術和光電子技術進行分析評價,推動其應用到航空航天等任務中;2)研究輻射環(huán)境應用技術的指導方法學;3)研究抗輻射保證問題,以增加系統(tǒng)可靠性,減少成本,簡化供應渠道。研究的目的是保證帶寬/速度不斷提升的微電子和光(如光纖數據鏈接)電子電路在輻射環(huán)境中可靠地工作。圖1所示為輻射效應和損傷機理的重點研究對象。研究領域可分為:1)新微電子器件輻射效應和損傷機理;2)先進微電子技術輻射評估;3)航空航天抗輻射保障;4)光電子器件的輻射效應和損傷機理;5)輻射測試、放射量測定及相關問題;6)飛行工程和異常數據分析;7)提供及時的前期工程支持;8)航空輻射效應評估;9)輻射數據維護和傳送。

3抗輻射加固設計技術

3.1抗輻射加固系統(tǒng)設計方法

開展抗輻射加固設計需要一個完整的設計和驗證體系,包括技術支持開發(fā)、建立空間環(huán)境模型及環(huán)境監(jiān)視系統(tǒng)、具備系統(tǒng)設計概念和在軌實驗的數據庫等。圖2所示為空間抗輻射加固設計的驗證體系。本文討論的設計技術范圍主要是關于系統(tǒng)、結構、電路、器件級的設計技術??梢酝ㄟ^圖2所示設計體系進行抗輻射加固設計:1)采用多級別冗余的方法減輕輻射破壞,這些級別分為元件級、板級、系統(tǒng)級和飛行器級。2)采用冗余或加倍結構元件(如三模塊冗余)的邏輯電路設計方法,即投票電路根據最少兩位的投票確定輸出邏輯。3)采用電路設計和版圖設計以減輕電離輻射破壞的方法。即采用隔離、補償或校正、去耦等電路技術,以及摻雜阱和隔離槽芯片布局設計;4)加入誤差檢測和校正電路,或者自修復和自重構功能;5)器件間距和去耦。這些加固設計器件可以采用專用工藝,也可采用標準工藝制造。

3.2加固模擬/混合信號IP技術

最近的發(fā)展趨勢表明,為了提高衛(wèi)星的智能水平和降低成本,推動了模擬和混合信號IP需求不斷增加[2]??馆椛浼庸棠MIP的數量也不斷增加。其混合信號IP也是相似的,在高、低壓中均有應用,只是需在不同的代工廠加工。比利時IMEC,ICsense等公司在設計抗輻射加固方案中提供了大量的模擬IP內容。模擬IP包括抗輻射加固的PLL和A/D轉換器模塊,正逐步向軟件控制型混合信號SoCASIC方向發(fā)展。該抗輻射加固庫基于XFab公司180nm工藝,與臺積電180nm設計加固IP庫參數相當。TID加固水平可以達到1kGy,并且對單粒子閉鎖和漏電流增加都可以進行有效加固。

3.3SiGe加固設計技術

SiGeHBT晶體管在空間應用并作模擬器件時,對總劑量輻射效應具有較為充分和固有的魯棒性,具備大部分空間應用(如衛(wèi)星)所要求的總劑量和位移效應的耐受能力[3]。目前,SiGeBiCMOS設計加固的熱點主要集中在數字邏輯電路上。SEE/SEU會對SiGeHBT數字邏輯電路造成較大破壞。因此,這方面的抗加設計技術發(fā)展較快。對先進SiGeBiCMOS工藝的邏輯電路進行SEE/SEU加固時,在器件級,可采用特殊的C-B-ESiGeHBT器件、反模級聯(lián)結構器件、適當的版圖結構設計等來進行SEE/SEU加固。在電路級,可使用雙交替、柵反饋和三模冗余等方法進行加固設計。三模冗余法除了在電路級上應用外,還可作為一種系統(tǒng)級加固方法使用。各種抗輻射設計獲得的加固效果各不相同。例如,移相器使用器件級和電路級并用的加固設計方案,經過LET值為75MeV•cm2/mg的重粒子試驗和標準位誤差試驗后,結果顯示,該移相器整體抗SEU能力得到有效提高,對SEU具有明顯的免疫力。

4抗輻射加固工藝技術

目前,加固專用工藝線仍然是戰(zhàn)略級加固的強有力工具,將來會越來越多地與加固設計結合使用。因為抗輻射加固工藝技術具有非常高的專業(yè)化屬性和高復雜性,因此只有少數幾個廠家能夠掌握該項技術。例如,單粒子加固的SOI工藝和SOS工藝,總劑量加固的小幾何尺寸CMOS工藝,IBM的45nmSOI工藝,Honeywe1l的50nm工藝,以及BAE外延CMOS工藝等。主要的抗輻射加固產品供應商之一Atmel于2006年左右達到0.18μm技術節(jié)點,上一期的工藝節(jié)點為3μm。Atmel的RTCMOS,RTPCMOS,RHCMOS抗輻射加固專用工藝不需改變設計和版圖,只用工藝加固即可制造出滿足抗輻射要求的軍用集成電路。0.18μm是Atmel當前主要的抗輻射加固工藝,目前正在開發(fā)0.15μm技術,下一步將發(fā)展90nm和65nm工藝。Atmel采用0.18μm專用工藝制造的IC有加固ASIC、加固通信IC、加固FPGA、加固存儲器、加固處理器等,如圖3所示。

5重點發(fā)展技術態(tài)勢

5.1美國的抗輻射加固技術

5.1.1加固設計重點技術

美國商務部2009年國防工業(yè)評估報告《美國集成電路設計和制造能力》,詳細地研究了美國抗輻射加固設計和制造能力[4]。擁有抗輻射加固制造能力的美國廠商同時擁有抗單粒子效應、輻射容錯、抗輻射加固和中子加固的設計能力。其中,擁有抗單粒子效應能力的18家、輻射容錯14家、輻射加固10家,中子加固9家。IDM公司是抗輻射加固設計的主力軍,2006年就已達到從10μm到65nm的15個技術節(jié)點的產品設計能力。15家公司具備10μm~1μm的設計能力,22家公司具備1μm~250nm的設計能力,24家公司具備250nm~65nm設計能力,7家公司的技術節(jié)點在65nm以下,如圖5所示。純設計公司的抗輻射加固設計能力較弱。美國IDM在設計抗輻射產品時所用的材料包括體硅、SOI,SiGe等Si標準材料,和藍寶石上硅、SiC,GaN,GaAs,InP,銻化物、非結晶硅等非標準材料兩大類。標準材料中使用體硅的有23家,使用SOI的有13家,使用SiGe的有10家。使用非標準材料的公司數量在明顯下降。非標材料中,GaN是熱點,有7家公司(4個小規(guī)模公司和3個中等規(guī)模公司)在開發(fā)。SiC則最弱,只有兩家中小公司在研發(fā)。沒有大制造公司從事非標材料的開發(fā)。

5.1.2重點工藝和制造能力

美國有51家公司從事輻射容錯、輻射加固、中子加固、單粒子瞬態(tài)加固IC產品研制。其中抗單粒子效應16家,輻射容錯15家,抗輻射加固12家,中子加固8家。制造公司加固IC工藝節(jié)點從10μm到32nm。使用的材料有標準Si材料和非標準兩大類。前一類有體硅、SOI和SiGe,非標準材料則包括藍寶石上硅,SiC,GaN,GaAs,InP,銻化物和非晶硅(amorphous)。晶圓的尺寸有50,100,150,200,300mm這幾類??馆椛浼庸坍a品制造可分為專用集成電路(ASIC)、柵陣列、存儲器和其他產品。ASIC制造能力最為強大,定制ASIC的廠商達到21家,標準ASIC達到13家,結構化ASIC有12家。柵陣列有:現場可編程陣列(FPGA)、掩膜現場可編程陣列(MPGA)、一次性現場可編程陣列(EPGA),共19家。RF/模擬/混合信號IC制造商達到18家,制造處理器/協(xié)處理器有11家。5.1.3RF和混合信號SiGeBiCMOS據美國航空航天局(NASA),SiGe技術發(fā)展的下一目標是深空極端環(huán)境應用的技術和產品,例如月球表面應用。這主要包括抗多種輻射和輻射免疫能力。例如,器件在+120℃~-180℃溫度范圍內正常工作的能力。具有更多的SiGe模擬/混合信號產品,微波/毫米波混合信號集成電路。系統(tǒng)能夠取消各種屏蔽和專用電纜,以減小重量和體積。德國IHP公司為空間應用提供高性能的250nmSiGeBiCMOS工藝SGB25RH[5],其工作頻率達到20GHz。包括專用抗輻射加固庫輻射試驗、ASIC開發(fā)和可用IP。采用SGB13RH加固的130nmSiGeBiCMOS工藝可達到250GHz/300GHz的ft/fmax。采用該技術,可實現SiGeBiCMOS抗輻射加固庫。

5.2混合信號的抗輻射加固設計技術

如果半導體發(fā)展趨勢不發(fā)生變化,則當IC特征尺寸向90nm及更小尺寸發(fā)展時,混合信號加固設計技術的重要性就會增加[6]。設計加固可以使用商用工藝,與特征尺寸落后于商用工藝的專用工藝相比,能夠在更小的芯片面積上提高IC速度和優(yōu)化IC性能。此外,設計加固能夠幫助設計者擴大減小單粒子效應的可選技術范圍。在20~30年長的時期內,加固設計方法學的未來并不十分清晰。最終數字元件將縮小到分子或原子的尺度。單個的質子、中子或粒子碰撞導致的后果可能不是退化,而是整個晶體管或子電路毀壞。除了引入新的屏蔽和/或封裝技術,一些復雜數字電路還需要具備一些動態(tài)的自修復和自重構功能。此外,提高產量和防止工作失效的力量或許會推動商用制造商在解決這些問題方面起到引領的作用。當前,沒有跡象表明模擬和RF電路會最終使用與數字電路相同的元件和工藝。因此,加固混合信號電路設計者需要在模擬和數字兩個完全不同的方向開展工作,即需要同時使用兩種基本不同的IC技術,并應用兩種基本不同的加固設計方法。

6結束語

第9篇:模擬集成電路的分析與設計范文

關鍵詞:電子線路;教學改革;探討

中圖分類號:G427 文獻標識碼:A 文章編號:1992-7711(2012)03-032-1

《電子線路》課程教學中存在的問題

職業(yè)學校《電子線路》課程教學普遍存在著以下幾個問題:(1)現行《電子線路》教材內容落后,以分離元件電路分析為主、集成電路介紹為輔的課程體系,遠不能適應目前電子設備中集成電路大量推廣應用的客觀現實。(2)職業(yè)學?!峨娮泳€路》教材理論水準定位偏高,很多教材可以說是大學教材的縮影,脫離了職業(yè)學校的教學實際,給自學能力、理解能力和知識接受能力不強的職校生帶來了學習困難。(3)教學過程中重理論、輕實踐,重知識的系統(tǒng)性、輕專業(yè)需要和知識應用,這一問題表現得十分突出。因此,我們要從教學思想、教材體系、教學內容、教學方法上進行課程改革,以建設《電子線路》的新課程體系更好地服務于職業(yè)學校學生職業(yè)能力的培養(yǎng)。

《電子線路》課程改革的基本思路

適當降低教材的理論深度。職業(yè)教育培養(yǎng)的是懂技術、會管理、能操作的應用型、工藝型人才,在知識結構方面基礎知識要少而精。但目前職業(yè)學校采用全國統(tǒng)編的《電子線路》教材,其內容和結構沒有擺脫大學教材的框架,是大學教材的濃縮,內容偏深,理論水準偏高,很多學生難以理解,加之學生第一次碰到工程估算的概念很不適應。因此,教師必須降低教材理論深度,突出物理概念,在講清物理意義后對復雜公式可不做推導,對傳統(tǒng)的教學內容和分析方法要有取舍,像三極管內部載流子的運動過程分析、放大器的圖解分析法、放大器的頻率特性分析等許多內容都可舍去不講。

緊跟電子技術發(fā)展,改革課程內容和體系從教學法的觀點來看,課程體系恰當與否直接決定教學質量的高低。我們認為,一個好的課程體系,不僅要符合學習者的認識規(guī)律,而且應當注意經典理論與先進科技的適當結合。隨著電子技術的飛速發(fā)展,模擬集成電路塊的種類愈來愈多,功能愈來愈強,在許多電子設備中已大量采用集成電路,這就給電子技術的培訓方法及要求帶來了新課題。過去技術人員根據輸入、輸出條件逐級分配指標、逐級設計的傳統(tǒng)方法,已代之以正確選擇和使用集成電路塊及其附加電路、接口電路等。因此,《電子線路》課程應建立以集成電路為主干的新課程體系,諸多內容如多級放大器的分析與設計、放大器微變等效電路等都可壓縮;而像負反饋放大器、功率放大器等內容可用集成電路進行討論。

根據專業(yè)教學需要、專業(yè)工作需要和崗位職業(yè)能力培養(yǎng)需要確定課程教學內容傳統(tǒng)教學模式是先有教材,任課教師根據教材編寫授課計劃,然后講授學科內容。編寫出版教材,一方面要兼顧學科的系統(tǒng)性、完整性,又必須考慮發(fā)行后教材使用的廣泛性。這樣一來,教材的針對性自然不強。另一方面,各專業(yè)對《電子線路》課程內容及深淺程度要求不盡相同,使授課內容在一定程度上脫離了專業(yè)需要。所以,在《電子線路》教學中,我們應瞄準崗位目標,實施能力教學,以學生學習專業(yè)課和畢業(yè)后直接從事崗位的需求為準講授課程內容。(1)建立以職業(yè)能力為中心的課程體系,針對培養(yǎng)職業(yè)能力的目標設定教學內容和教學大綱,以此確定《電子線路》課程針對不同專業(yè)所需掌握的內容以及每一章、每一節(jié)培養(yǎng)學生能力的目標,積極創(chuàng)造條件,爭取按專業(yè)(崗位)編寫教材。(2)在給各專業(yè)班級配備任課教師時,一定要考慮教師所學和所從事過的專業(yè)。(3)爭取三四年內讓每位任課教師到生產現場參加一定的專項生產實踐,熟悉專業(yè)設備及其發(fā)展方向。(4)《電子線路》課程各任課教師要經常和專業(yè)課教師座談,及時掌握專業(yè)課教學對《電子線路》課程的要求,并結合專業(yè)課的實例充實豐富講課內容。

要保證《電子線路》課程改革順利實現,僅有正確的改革思路還不夠,還需要一定的技術和設備條件做保證。主要有:(1)課時分配。目前,高職院?!峨娮泳€路》的理論課時與實驗課時比基本為6∶1,實驗課時相對較少。在以職業(yè)能力培養(yǎng)為本位的新體系中,我們要在“必需、夠用”的前提下精講理論,拿出更多的時間加強實踐教學。(2)提高教師業(yè)務能力?,F任《電子線路》課程教學的教師大都是大學或研究生畢業(yè)后直接分配到高職院校的,他們理論水平較高,但實踐動手能力較差,不利于培養(yǎng)學生的動手能力。需要學校積極創(chuàng)造條件,逐步提高這些教師的實踐動手能力,使他們盡快成為“雙師型”教師。(3)加大資金投入,改善實驗條件。受過去“實驗教學為理論教學服務”思想的影響,再加上各學校教育經費不夠,許多學校的電子實驗設備是分批逐步配置的,普遍存在“雜”(同一設備不同牌子)、“少”(實驗設備組數少、不夠用)、“差”(設備性能差),需要學校加大經費投入力度,改善和增加設備。(4)課程改革與專業(yè)改革的相容性。要提高學生的專業(yè)能力,滿足工作崗位需求,單靠《電子線路》一門課程是不可能實現的。只有把《電子線路》課程改革與專業(yè)結構及專業(yè)課程改革結合起來同時進行,學生的專業(yè)能力才能得到提高,才能實現教學改革目標。

[參考文獻]

相關熱門標簽