公務(wù)員期刊網(wǎng) 論文中心 正文

EDA技術(shù)應(yīng)用于數(shù)字電子技術(shù)的實(shí)踐教學(xué)

前言:想要寫(xiě)出一篇引人入勝的文章?我們特意為您整理了EDA技術(shù)應(yīng)用于數(shù)字電子技術(shù)的實(shí)踐教學(xué)范文,希望能給你帶來(lái)靈感和參考,敬請(qǐng)閱讀。

EDA技術(shù)應(yīng)用于數(shù)字電子技術(shù)的實(shí)踐教學(xué)

摘要:數(shù)字電子技術(shù)是通信、電科、自動(dòng)控制等課程的基礎(chǔ)課程,也是一門(mén)實(shí)踐性很強(qiáng)的課程,將理論知識(shí)與實(shí)踐教學(xué)有機(jī)結(jié)合是這門(mén)課程教學(xué)的關(guān)鍵。然而傳統(tǒng)實(shí)踐教學(xué)過(guò)程中,受場(chǎng)地、授課學(xué)時(shí)、設(shè)備等限制,不利于學(xué)生動(dòng)手設(shè)計(jì)實(shí)踐能力的提高及創(chuàng)新思維形成,提出課程中引入eda技術(shù)的實(shí)踐教學(xué)改革,并取得了一定的成果。

關(guān)鍵詞:數(shù)字電子技術(shù);實(shí)踐教學(xué);EDA技術(shù)

近年來(lái),電子業(yè)發(fā)展非常迅速,已成為我國(guó)一大支柱產(chǎn)業(yè),而電子技術(shù)的發(fā)展一定程度上取決于數(shù)字技術(shù)的發(fā)展,伴隨大規(guī)模集成電路及可編程邏輯器件的迅速發(fā)展,針對(duì)傳統(tǒng)電子技術(shù)實(shí)驗(yàn)教學(xué)存在的弊端,有必要對(duì)數(shù)字電子技術(shù)實(shí)踐教學(xué)進(jìn)行改革,基于EDA技術(shù)的數(shù)字電子技術(shù)實(shí)驗(yàn)教學(xué)改革優(yōu)勢(shì)凸顯,既提高了學(xué)生實(shí)驗(yàn)動(dòng)手設(shè)計(jì)的實(shí)踐能力,又培養(yǎng)了學(xué)生的創(chuàng)新思維,同時(shí)提高了這門(mén)課程的教學(xué)質(zhì)量,改革成果顯而易見(jiàn)。

1EDA技術(shù)概述

EDA(ElectronicDesignAutomation)是指以計(jì)算機(jī)作為設(shè)計(jì)平臺(tái),綜合運(yùn)用計(jì)算機(jī)技術(shù)、最新電子技術(shù)及最新智能化技術(shù)先進(jìn)研究成果研制出的電子CAD通用軟件包。EDA技術(shù)先后發(fā)展經(jīng)歷了三個(gè)階段,從20世紀(jì)70年代的CAD階段到80年代的CAE階段,再到90年代的EDA階段,代表著當(dāng)今電子技術(shù)發(fā)展最新方向。由于所涉及內(nèi)容廣泛,且內(nèi)容較為豐富,所以沒(méi)有確切的定義??梢赃@樣理解,EDA技術(shù)是以大規(guī)模的可編程邏輯器件作為設(shè)計(jì)的載體,以硬件描述語(yǔ)言為系統(tǒng)邏輯描述為主要表達(dá)方式,基于計(jì)算機(jī)及大規(guī)??删幊踢壿嬈骷拈_(kāi)發(fā)軟件及實(shí)驗(yàn)系統(tǒng)為設(shè)計(jì)工具,通過(guò)相關(guān)的開(kāi)發(fā)軟件,自動(dòng)完成通過(guò)軟件設(shè)計(jì)實(shí)現(xiàn)電子系統(tǒng)到硬件系統(tǒng)的一門(mén)新技術(shù)??蓪?shí)現(xiàn)邏輯編譯、化簡(jiǎn)、分割,及邏輯綜合優(yōu)化、邏輯布局布線、邏輯仿真等功能,完成針對(duì)特定目標(biāo)芯片的適配編譯、邏輯映射及編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒?/p>

2傳統(tǒng)的數(shù)字電子技術(shù)課程實(shí)踐教學(xué)的弊端

數(shù)字電子技術(shù)課程實(shí)踐教學(xué)主要分為兩部分,即實(shí)驗(yàn)教學(xué)這一塊,還有課程設(shè)計(jì)與實(shí)習(xí)這一塊的內(nèi)容。傳統(tǒng)型實(shí)驗(yàn)教學(xué)環(huán)節(jié)流程一般是,先要針對(duì)實(shí)驗(yàn)內(nèi)容涉及用到的設(shè)備器材做準(zhǔn)備工作,主要是各種芯片之類的,還要檢驗(yàn)芯片本身是否有損壞的情況,還有各種信號(hào)連線等,以及數(shù)字系統(tǒng)的實(shí)驗(yàn)箱等儀器設(shè)備。準(zhǔn)備齊全后,開(kāi)始實(shí)驗(yàn)過(guò)程中,按照指定的線路連線圖進(jìn)行連接,不斷改變輸入狀態(tài)同時(shí)記錄好相應(yīng)的輸出變化,最后驗(yàn)證是否與理論上相符合。傳統(tǒng)的課程設(shè)計(jì)環(huán)節(jié)主要包括,基于滿足總體性能指標(biāo)的方案設(shè)計(jì)與選擇、實(shí)驗(yàn)參數(shù)值的計(jì)算以及電路實(shí)驗(yàn)的調(diào)試、參數(shù)的修改等。實(shí)驗(yàn)中用到的儀器設(shè)備都有購(gòu)買準(zhǔn)備齊全,各個(gè)單元電路的調(diào)試及總體電路的調(diào)試。存在的弊端是整個(gè)實(shí)驗(yàn)過(guò)程比較耗時(shí),而且一旦某個(gè)環(huán)節(jié)出現(xiàn)問(wèn)題,就要查找原因,還很有可能要重新設(shè)計(jì)實(shí)驗(yàn)方案,設(shè)計(jì)周期較長(zhǎng),又要重新購(gòu)買器材,浪費(fèi)資源,增加成本。

3基于EDA技術(shù)的現(xiàn)代數(shù)字電子技術(shù)課程教學(xué)研究

現(xiàn)代數(shù)字電子技術(shù)實(shí)踐課程教學(xué)主要是引入EDA技術(shù),實(shí)際上就是充分運(yùn)用各種計(jì)算機(jī)軟件來(lái)仿真實(shí)驗(yàn)環(huán)境。這樣在實(shí)驗(yàn)準(zhǔn)備方面,工作量大大減輕了,不用再大量準(zhǔn)備各種芯片,也不用驗(yàn)證芯片質(zhì)量好壞,也不需要準(zhǔn)備各種實(shí)驗(yàn)儀器,只需要有電腦用于安裝軟件,來(lái)提供實(shí)驗(yàn)仿真環(huán)境就可以了。

3.1EDA技術(shù)在實(shí)驗(yàn)教學(xué)中的運(yùn)用

實(shí)驗(yàn)是電子線路課程教學(xué)的一個(gè)重要環(huán)節(jié),通過(guò)實(shí)驗(yàn)?zāi)芗由顚W(xué)生對(duì)理論知識(shí)的理解和掌握,同時(shí)提高了學(xué)生的動(dòng)手實(shí)踐能力,培養(yǎng)學(xué)生的創(chuàng)新思維。傳統(tǒng)實(shí)驗(yàn)課程教學(xué),要做大量?jī)x器材料準(zhǔn)備工作,耗工耗時(shí),但可以使學(xué)生直接面對(duì)真實(shí)的對(duì)象,獲得直接的經(jīng)驗(yàn)。EDA軟件的優(yōu)勢(shì)是可以提供上千種電子元器件盒數(shù)十種儀器儀表,可以很方便地虛擬各種電子實(shí)驗(yàn),而且只要有計(jì)算機(jī)便不受時(shí)間、場(chǎng)地的限制。而且虛擬實(shí)驗(yàn)有精準(zhǔn)的仿真分析方法和技術(shù),更加有利于學(xué)生對(duì)實(shí)驗(yàn)現(xiàn)象的觀察和實(shí)驗(yàn)數(shù)據(jù)的獲得,有利于學(xué)生對(duì)電路進(jìn)行別出心裁的修改,對(duì)電路的分析和測(cè)試做不同的嘗試,最終有利于實(shí)驗(yàn)結(jié)論的獲得。這些都是實(shí)際硬件實(shí)驗(yàn)所不具備的優(yōu)勢(shì)。

3.2EDA技術(shù)在課程設(shè)計(jì)和畢業(yè)設(shè)計(jì)中的應(yīng)用

課程設(shè)計(jì)和畢業(yè)設(shè)計(jì)也是數(shù)字電子技術(shù)課程教學(xué)的一個(gè)重要環(huán)節(jié)。在當(dāng)前嚴(yán)峻的就業(yè)形勢(shì)下,學(xué)生在畢業(yè)設(shè)計(jì)中通過(guò)對(duì)EDA技術(shù)的運(yùn)用,能明顯增強(qiáng)競(jìng)爭(zhēng)力。因?yàn)槟壳罢n程設(shè)計(jì)和畢業(yè)設(shè)計(jì)工程應(yīng)用類題目不少,在畢業(yè)設(shè)計(jì)中引用EDA技術(shù),要求學(xué)生對(duì)所設(shè)計(jì)的電路進(jìn)行模擬仿真調(diào)試、驗(yàn)證,在答辯時(shí)候進(jìn)行實(shí)際演示,可以大大提高學(xué)生動(dòng)手能力和開(kāi)發(fā)設(shè)計(jì)能力。數(shù)字電子技術(shù)課程是一門(mén)實(shí)驗(yàn)性很強(qiáng)的課程,傳統(tǒng)實(shí)驗(yàn)教學(xué)環(huán)節(jié)受到很多制約,不利于學(xué)生對(duì)該門(mén)課程的學(xué)習(xí),引入EDA技術(shù)明顯提高課程的教學(xué)質(zhì)量,同時(shí)有利于提高學(xué)生動(dòng)手實(shí)踐能力和培養(yǎng)學(xué)生的創(chuàng)新意識(shí)。在課程設(shè)計(jì)和畢業(yè)設(shè)計(jì)中引入EDA技術(shù),還大大增加了學(xué)生就業(yè)競(jìng)爭(zhēng)力。事實(shí)證明,數(shù)字電子技術(shù)實(shí)踐教學(xué)引入EDA技術(shù)取得了一定的成果。

參考文獻(xiàn)

[1]趙永,姜云橋.EDA技術(shù)在電子類課程教學(xué)中的應(yīng)用探討[J].林區(qū)教學(xué),2008(1):123.

[2]高旭東,徐澤清,張立.關(guān)于EDA技術(shù)應(yīng)用于數(shù)字電子技術(shù)實(shí)踐教學(xué)改革的研究[J].黑龍江科技信息,2012(19):59.

作者:王瑞 單位:哈爾濱遠(yuǎn)東理工學(xué)院

精選范文推薦