公務(wù)員期刊網(wǎng) 論文中心 正文

數(shù)字電子技術(shù)課程設(shè)計(jì)的教學(xué)改革

前言:想要寫出一篇引人入勝的文章?我們特意為您整理了數(shù)字電子技術(shù)課程設(shè)計(jì)的教學(xué)改革范文,希望能給你帶來靈感和參考,敬請閱讀。

數(shù)字電子技術(shù)課程設(shè)計(jì)的教學(xué)改革

摘要:數(shù)字電子技術(shù)是電類專業(yè)的重要專業(yè)基礎(chǔ)課程,EDA技術(shù)作為電子設(shè)計(jì)的技術(shù)潮流已成為高校電類專業(yè)教學(xué)的熱點(diǎn)。介紹了燕山大學(xué)光電子系EDA實(shí)驗(yàn)室的發(fā)展歷程,闡述了EDA課程設(shè)計(jì)這一實(shí)踐教學(xué)活動(dòng)內(nèi)容與形式和在實(shí)施中存在的問題及解決方法。經(jīng)過多年的實(shí)踐運(yùn)行,取得了良好的效果,對(duì)其他課程實(shí)踐教學(xué)也具重要參考價(jià)值。

關(guān)鍵詞:EDA;電子技術(shù);實(shí)踐教學(xué);課程設(shè)計(jì)

在數(shù)電課程教學(xué)中,課內(nèi)實(shí)驗(yàn)及課程設(shè)計(jì)是基礎(chǔ)又重要的實(shí)踐環(huán)節(jié),而EDA技術(shù)作為世界電子設(shè)計(jì)的最新技術(shù)潮流,已成為高校電類課程教學(xué)的熱點(diǎn)[1]。本文針對(duì)燕山大學(xué)近年來將EDA技術(shù)應(yīng)用到電子技術(shù)實(shí)踐教學(xué)中所做的改革和創(chuàng)新做了一些總結(jié)。如何使學(xué)生將理論知識(shí)消化、吸收并轉(zhuǎn)化為實(shí)際動(dòng)手能力應(yīng)用于工程實(shí)踐當(dāng)中,已經(jīng)成為教學(xué)的主要目的。在整個(gè)數(shù)電教學(xué)體系中,采用項(xiàng)目驅(qū)動(dòng)法進(jìn)行理論教學(xué);課內(nèi)實(shí)驗(yàn)以教師為主導(dǎo)學(xué)生為主體進(jìn)行;課程設(shè)計(jì)(三級(jí)項(xiàng)目)做到以學(xué)生為主,教師為輔。

1數(shù)字電子技術(shù)實(shí)踐教學(xué)概況

1.1EDA實(shí)驗(yàn)室簡介

燕山大學(xué)光電子系EDA(ElectronicDesignAuto-mation)實(shí)驗(yàn)室始建于2000年,使用面積300m2,建設(shè)規(guī)模130臺(tái)套,可同時(shí)為4個(gè)教學(xué)班開設(shè)實(shí)驗(yàn)項(xiàng)目。目前主要為電子信息、通信工程、計(jì)算機(jī)科學(xué)與技術(shù)、電子科學(xué)與技術(shù)和光電信息科學(xué)與工程等專業(yè)開設(shè)EDA課程設(shè)計(jì)。EDA實(shí)驗(yàn)室自建立經(jīng)歷了三次可編程邏輯器件的升級(jí)換代:第一次是ALTERA公司MAX7000系列的EPM7128SLC84-15,第二次是FLEX10K系列的EPF10K10LC84-4,目前使用的是EP2C35系列的EP2C35F484C7N,包含33216個(gè)邏輯單元,是一款低功耗低成本FPGA芯片。各芯片資源特性對(duì)比見表1。

1.2EDA課程設(shè)計(jì)概況

數(shù)字電子技術(shù)本科教學(xué),包括64學(xué)時(shí)的數(shù)字電子技術(shù),其中含12學(xué)時(shí)的課內(nèi)實(shí)驗(yàn)(由燕山大學(xué)電子實(shí)驗(yàn)中心承擔(dān))和2周的課程設(shè)計(jì),即EDA課程設(shè)計(jì)(由燕山大學(xué)光電子系EDA實(shí)驗(yàn)室承擔(dān)),而且課程設(shè)計(jì)成績單獨(dú)進(jìn)行考核。EDA課程設(shè)計(jì)以數(shù)字電路設(shè)計(jì)自動(dòng)化為主,是芯片級(jí)的設(shè)計(jì),是硬件設(shè)計(jì)的軟件化。這也正是與傳統(tǒng)設(shè)計(jì)的差異所在。在工程教育專業(yè)認(rèn)證背景下,以國際工程教育理念為導(dǎo)向[2],在學(xué)生學(xué)習(xí)了數(shù)字電子技術(shù)的基礎(chǔ)上,訓(xùn)練學(xué)生綜合應(yīng)用學(xué)過的理論知識(shí)設(shè)計(jì)比較復(fù)雜的數(shù)字電路的能力。通過本課程設(shè)計(jì),使學(xué)生掌握使用EDA工具設(shè)計(jì)數(shù)字電路的方法,具體包括圖形設(shè)計(jì)輸入、編譯、時(shí)序仿真、下載和硬件驗(yàn)證等過程。讓學(xué)生掌握EDA工具設(shè)計(jì)數(shù)字電路的方法,支撐畢業(yè)要求———“針對(duì)一個(gè)設(shè)定的電子電路或通信系統(tǒng),具有給出設(shè)計(jì)方案的能力”.設(shè)計(jì)用到的軟件早期為MAX+plusII,后期為QuartusII。其均有兩種典型輸入方式:原理圖與硬件描述語言(VHDL、VerilogHDL等)。原理圖輸入方式的特點(diǎn):比較直觀,易于仿真,便于信號(hào)觀察與電路調(diào)整。要求對(duì)系統(tǒng)及電路非常熟悉,但當(dāng)系統(tǒng)功能復(fù)雜時(shí),其效率低。硬件描述語言則恰恰相反:系統(tǒng)功能復(fù)雜時(shí)效率高,設(shè)計(jì)周期短。本課程設(shè)計(jì)是與數(shù)字電子技術(shù)理論課對(duì)應(yīng)的實(shí)踐環(huán)節(jié),所以課程設(shè)計(jì)中要求學(xué)生使用原理圖輸入方式,以此來鞏固學(xué)生數(shù)電知識(shí),這也是這門課程設(shè)計(jì)的主要特色。據(jù)了解許多院校該實(shí)踐教學(xué)大多采用硬件描述語言的方式。此外,對(duì)于電子信息工程(卓越試點(diǎn))班的同學(xué),由于學(xué)時(shí)為3周,我們鼓勵(lì)學(xué)生用多種方案實(shí)現(xiàn)功能,自主擴(kuò)展題目功能,額外使用VHDL語言實(shí)現(xiàn)部分模塊功能[3]。

2課程設(shè)計(jì)內(nèi)容與流程

整個(gè)EDA課程設(shè)計(jì)的內(nèi)容與流程如圖1所示。第一,教師講解。每次EDA課程設(shè)計(jì)開始時(shí),指導(dǎo)教師都要用4學(xué)時(shí)來講授課程設(shè)計(jì)軟件部分(2學(xué)時(shí))和硬件部分(2學(xué)時(shí))。其中軟件部分主要針對(duì)MAX+plusII或QuartusII進(jìn)行講述,硬件部分圍繞教材———參考文獻(xiàn)4的第6章和實(shí)驗(yàn)箱資源等內(nèi)容展開,著重講解題目用到的資源及使用過程中需注意的問題[4]。第二,選題。課程設(shè)計(jì)我們設(shè)置了諸如智能交通燈、電子琴、密碼鎖等共計(jì)31個(gè)題目供學(xué)生選擇,使一個(gè)教學(xué)班的每個(gè)學(xué)生(基本小于等于30人)的題目都是不一樣的。這樣雖然給指導(dǎo)教師增加了工作量,但對(duì)學(xué)生是有益的,避免了以往互相“參考”的弊病。第三,資料查閱。早期使用軟件為MAX+plusII功能簡單易用,學(xué)生經(jīng)過教師講解后,很快就可以上手進(jìn)行設(shè)計(jì)。后期軟件升級(jí)為QuartusII功能強(qiáng)大,軟件操作復(fù)雜,需要學(xué)生花費(fèi)較多的時(shí)間熟悉此軟件的操作設(shè)計(jì)流程。要求學(xué)生借助網(wǎng)絡(luò)、圖書館等資源查閱相關(guān)資料,包括軟件使用教程和設(shè)計(jì)題目相關(guān)資料。第四,方案設(shè)計(jì)。按照題目需求,給出設(shè)計(jì)方案,并對(duì)設(shè)計(jì)方案可行性進(jìn)行論證。分析設(shè)計(jì)要求,將題目模塊化,功能分解,以便按模塊進(jìn)行元器件級(jí)實(shí)現(xiàn)。這部分由學(xué)生自主設(shè)計(jì),方案是否合理可行,直接決定設(shè)計(jì)題目能否順利完成。第五,模塊化實(shí)現(xiàn)(包括仿真)??傮w設(shè)計(jì)方案確定后,就要進(jìn)行功能分解,模塊化,這對(duì)后期的時(shí)序驗(yàn)證、電路仿真乃至錯(cuò)誤排查至關(guān)重要。系統(tǒng)總體功能的實(shí)現(xiàn)依托各模塊的準(zhǔn)確設(shè)計(jì)及協(xié)同性,學(xué)生通過時(shí)序仿真、功能仿真乃至測試節(jié)點(diǎn)的波形進(jìn)行反復(fù)修改排查,直至達(dá)到設(shè)計(jì)預(yù)期目的。第六,下載驗(yàn)證。整個(gè)電路圖及各個(gè)模塊都仿真測試無誤后,即可以進(jìn)行下載驗(yàn)證。以往采用并口下載由于不支持熱插拔很容易發(fā)生燒芯片等現(xiàn)象,現(xiàn)在采用USB口下載不僅提高了下載速度而且也極大地減少了燒芯片的概率。每個(gè)班級(jí)配備示波器、邏輯分析儀、萬用表等測試儀器一套,滿足學(xué)生測試需求。盡管每次進(jìn)行設(shè)計(jì)前都要對(duì)實(shí)驗(yàn)箱進(jìn)行檢修,但依然在設(shè)計(jì)中會(huì)發(fā)現(xiàn)個(gè)別實(shí)驗(yàn)箱的硬件故障,一般指引學(xué)生用替換法進(jìn)行排查,很容易就能找到問題所在。第七,答辯驗(yàn)收。成立由8名指導(dǎo)教師組成的答辯委員會(huì),分2個(gè)答辯組進(jìn)行驗(yàn)收,每組4名指導(dǎo)教師。分別對(duì)如下幾方面進(jìn)行評(píng)定:首先,學(xué)生設(shè)計(jì)方案講解。其次,回答指導(dǎo)教師的提問。最后,硬件實(shí)驗(yàn)箱功能演示。第八,設(shè)計(jì)報(bào)告撰寫。以往學(xué)生容易忽視設(shè)計(jì)報(bào)告的重要性,為了避免此類情況的發(fā)生,我們在設(shè)計(jì)之初就反復(fù)強(qiáng)調(diào)文檔在整個(gè)課程設(shè)計(jì)中的重要性,并適當(dāng)提高設(shè)計(jì)報(bào)告在成績評(píng)定中的權(quán)重。第九,成績評(píng)定。根據(jù)《EDA課程設(shè)計(jì)(三級(jí)項(xiàng)目)大綱》及《EDA課程設(shè)計(jì)(三級(jí)項(xiàng)目)評(píng)分標(biāo)準(zhǔn)》將答辯驗(yàn)收及設(shè)計(jì)報(bào)告的成績進(jìn)行匯評(píng),最后給出總評(píng)成績。實(shí)踐表明,超過25%的學(xué)生能達(dá)到優(yōu)秀(A),約50%的學(xué)生能達(dá)到良好(B),約15%的學(xué)生為中(C),不足10%的學(xué)生為及格(D)。

3結(jié)語

經(jīng)過16年的改革與發(fā)展,燕山大學(xué)光電子系EDA實(shí)驗(yàn)室無論是硬件裝備,還是軟件資源都比較完備,EDA課程設(shè)計(jì)這一實(shí)踐教學(xué)活動(dòng)也得到漸進(jìn)式發(fā)展。為了更好地提高學(xué)生將理論知識(shí)應(yīng)用于工程實(shí)際的能力,指導(dǎo)教師需要在指導(dǎo)答疑時(shí)把握好度———既要答疑解惑,又要激發(fā)出學(xué)生自身的設(shè)計(jì)思維與靈感;設(shè)置大量與日常生活、工程實(shí)際密切相關(guān)的題目,激發(fā)學(xué)生的學(xué)習(xí)興趣,開闊學(xué)生眼界,為將來從事相關(guān)工作打下基礎(chǔ)。理論和實(shí)踐教學(xué)改革措施經(jīng)過多年的運(yùn)行,獲得了學(xué)生和教師的廣泛好評(píng)。

參考文獻(xiàn):

[1]林喜榮,董敬峰.基于EDA技術(shù)的電子技術(shù)實(shí)驗(yàn)改革與創(chuàng)新[J].黑龍江教育,2014,(10):8-9.

[2]徐衛(wèi)林,彭曉春,岳宏衛(wèi),等.工程教育專業(yè)認(rèn)證背景下的微電子專業(yè)教改實(shí)踐研究[J].科技資訊,2016,(22):81-84.

[3]李江昊,常丹華,張寶榮,等.“卓越工程師計(jì)劃”試點(diǎn)班課堂教學(xué)改革與實(shí)踐[J].教學(xué)研究,2012,(01):46-49.

[4]張寶榮,黃震,李江昊,等.數(shù)字電子技術(shù)基礎(chǔ)(第2版)[M].北京:電子工業(yè)出版社,2015:242-278.

作者:張保軍 黃震 劉燕燕 盧啟柱 單位:燕山大學(xué)信息科學(xué)與工程學(xué)院 河北省特種光纖與光纖傳感重點(diǎn)實(shí)驗(yàn)室