公務(wù)員期刊網(wǎng) 論文中心 正文

EDA技術(shù)在電路設(shè)計(jì)中的應(yīng)用

前言:想要寫出一篇引人入勝的文章?我們特意為您整理了EDA技術(shù)在電路設(shè)計(jì)中的應(yīng)用范文,希望能給你帶來靈感和參考,敬請(qǐng)閱讀。

EDA技術(shù)在電路設(shè)計(jì)中的應(yīng)用

摘要:隨著電路設(shè)計(jì)技術(shù)的不斷發(fā)展,一些設(shè)計(jì)領(lǐng)域已經(jīng)不能再滿足市場(chǎng)發(fā)展的要求,一些全新的設(shè)計(jì)理念需要被創(chuàng)造出來提升企業(yè)的競(jìng)爭(zhēng)實(shí)力,為了推動(dòng)我國(guó)電路設(shè)計(jì)技術(shù)的飛速進(jìn)展,本文對(duì)eda技術(shù)的要點(diǎn)進(jìn)行了總結(jié)概括,梳理了技術(shù)設(shè)計(jì)的關(guān)鍵流程,并對(duì)PLD和VHDL進(jìn)行了詳細(xì)分析,最后通過具體的案例總結(jié)了EDA技術(shù)如何在電子電路設(shè)計(jì)實(shí)踐中進(jìn)行應(yīng)用。

【關(guān)鍵詞】EDA技術(shù)電路設(shè)計(jì)編程邏輯

科學(xué)技術(shù)飛速發(fā)展,電子電路設(shè)計(jì)技術(shù)也隨之出現(xiàn)了革命性的突破,EDA技術(shù)開始得到了大范圍的普及,硬件電子電路的設(shè)計(jì)過程中,出現(xiàn)了軟件化應(yīng)用發(fā)展的傾向,同時(shí)芯片的只能程度也越來越高,芯片化在電路設(shè)計(jì)當(dāng)中也是主要趨勢(shì),因此我們需要對(duì)電路的設(shè)計(jì)進(jìn)行重新認(rèn)識(shí)。

1EDA技術(shù)的特點(diǎn)分析

與傳統(tǒng)的CDA技術(shù)不一樣,EDA技術(shù)具有明顯的特點(diǎn)。(1)相比較硬件設(shè)計(jì)的軟件而言,EDA技術(shù)可以有多種設(shè)計(jì)輸入可以選擇,可以選擇波形、原理圖等,可以在不利用任何硬件設(shè)備的情況之下進(jìn)行下載配置,在下載配置前的所有階段基本口可以利用該技術(shù)進(jìn)行配置。另外該技術(shù)的修改程序也非常簡(jiǎn)單,就修改程序的設(shè)計(jì)而言,修改方法非常的容易操作,可以達(dá)到對(duì)特定電路進(jìn)行科學(xué)合理設(shè)置的目的。(2)產(chǎn)品的直面設(shè)計(jì)可以自動(dòng)完成,EDA技術(shù)可以設(shè)計(jì)輸入文件,根據(jù)電路原理對(duì)HDL等按照邏輯編譯的相關(guān)方法進(jìn)行設(shè)置,生成最終所需求的目標(biāo)體系。在計(jì)算機(jī)上達(dá)到自動(dòng)進(jìn)行電路設(shè)計(jì)和性能分析以及優(yōu)化設(shè)置的目的。(3)電路的集成化程度如果非常高,就可以形成一個(gè)系統(tǒng),在設(shè)計(jì)數(shù)字電路的時(shí)候,EDA技術(shù)可以實(shí)現(xiàn)對(duì)芯片的設(shè)計(jì),因此大規(guī)模集成電路將獲得完美的發(fā)展。為了進(jìn)行更加繁瑣的數(shù)字電路的芯片設(shè)計(jì),達(dá)到專業(yè)化集成化的目的,可以實(shí)現(xiàn)集成電路的ASIC設(shè)計(jì)䯮。(4)為了對(duì)現(xiàn)場(chǎng)的目標(biāo)進(jìn)行直接編輯,能夠應(yīng)用該技術(shù)徹底提升工作效率。(5)開發(fā)了時(shí)間較短的EDA技術(shù)之后,可以在花費(fèi)較少費(fèi)用的情況下,進(jìn)行具備很大靈活性的設(shè)計(jì)步驟。

2硬件描述語言

想要全面介紹VHDL就必須首先介紹該語言的基本特點(diǎn)。通過EDA技術(shù)可以設(shè)計(jì)輸入和開發(fā)軟件的電子電路,EDA技術(shù)是關(guān)鍵的首要技術(shù),進(jìn)行電路設(shè)計(jì)和電路測(cè)試需要進(jìn)行簡(jiǎn)單的敘述,對(duì)于規(guī)模比小的中小數(shù)字電路而言,利用之前的電路原理和邏輯方程對(duì)波形進(jìn)行描述是可行的。但是如果電路大規(guī)模特別巨大,則一般會(huì)選用HDL語言進(jìn)行描述。通過對(duì)硬件電路的行為功能和性質(zhì)等進(jìn)行分析,可以對(duì)信號(hào)的鏈接關(guān)系進(jìn)行描述,得到利用非常容易的模式就進(jìn)行邏輯抽象準(zhǔn)確的描述的目的。由于該種模式可以詳細(xì)而精準(zhǔn)的設(shè)計(jì)出電路的特征,利用該種語言配合EDA技術(shù)可以讓電路設(shè)計(jì)中的語言與輸入方式互相匹配。在電路設(shè)計(jì)領(lǐng)域,VHDL語言應(yīng)用的范圍非常廣,該語言包含了大量的具有硬件特點(diǎn)的語句,和普通的計(jì)算機(jī)相比較,在結(jié)構(gòu)和語法上相似度比較高,同時(shí),該語言還具有其他優(yōu)勢(shì),例如針對(duì)實(shí)體部分和不可視部分結(jié)合不同系統(tǒng)的元件,針對(duì)可視部分是端口的設(shè)計(jì),針對(duì)可視實(shí)體部分進(jìn)行命名方面的簡(jiǎn)要敘述,不可視部分的模塊需要進(jìn)行簡(jiǎn)單說明。因此VHDL硬件描述方法和傳統(tǒng)的AHKL相比描述的可能性比較大,從而可以對(duì)機(jī)器的復(fù)雜邏輯順序進(jìn)行規(guī)避,讓數(shù)字電路的設(shè)計(jì)行為可以方便描述,同時(shí)VHDL硬件語言還具有其他一些特點(diǎn)。(1)VHDL語言僅僅是設(shè)計(jì)語言的一種,利用VHDL技術(shù)可以將復(fù)雜的電路轉(zhuǎn)換成程序語言進(jìn)行描述,將電路的內(nèi)容添加進(jìn)程序設(shè)計(jì)里面,進(jìn)行系統(tǒng)設(shè)計(jì),方便系統(tǒng)和硬件進(jìn)行整合。(2)VHDL語言經(jīng)常應(yīng)用于測(cè)試之中,對(duì)問題進(jìn)行描述是該語言的應(yīng)用之一,經(jīng)常用于對(duì)數(shù)字電路的描述,對(duì)過對(duì)基準(zhǔn)的測(cè)試可以實(shí)現(xiàn)對(duì)目標(biāo)電路的模擬和仿真,還可以方便對(duì)相關(guān)的目標(biāo)進(jìn)行檢驗(yàn)。(3)VHDL語言是一種標(biāo)準(zhǔn)化的語言,可以廣泛的運(yùn)用進(jìn)行程序設(shè)計(jì),當(dāng)前電子設(shè)計(jì)領(lǐng)域已經(jīng)廣泛使用VHDL語言進(jìn)行程序設(shè)計(jì),其適用范圍不僅在EDA領(lǐng)域,該語言可以脫離當(dāng)前的大部分開發(fā)工具,并不受相關(guān)技術(shù)限制的影響。(4)VHDL語言也是可讀性語言之一,可以被計(jì)算機(jī)程序充分的識(shí)別,并且設(shè)計(jì)人員也容易對(duì)其進(jìn)行理解,同時(shí)該種語言的可讀性程度也比較高,因此其既可以進(jìn)行文件的設(shè)計(jì)之用,還可以純粹的作為一種技術(shù)文檔。(5)VHDL是網(wǎng)絡(luò)程序的一種語言,其自身知識(shí)結(jié)構(gòu)的特點(diǎn)可以幫助該語言在計(jì)算機(jī)環(huán)境當(dāng)中得到良好的應(yīng)用,VHDL設(shè)計(jì)工具的聯(lián)系非常緊密,方便各種格式的文件進(jìn)行交換。簡(jiǎn)言之,該種工具是一種入門級(jí)別的網(wǎng)表工具,可以方便各種程序互換設(shè)計(jì),因此兼容性比較高。

3EDA技術(shù)的實(shí)踐

為了讓該種技術(shù)在實(shí)踐當(dāng)中得到普遍應(yīng)用,可以設(shè)計(jì)分、秒的現(xiàn)實(shí)來進(jìn)行輔助。首先利用計(jì)數(shù)器對(duì)VHDL語言進(jìn)行描述,之后通過軟件讓該語言的設(shè)置變?yōu)榱M(jìn)位,使得高層的程序設(shè)計(jì)可以在此基礎(chǔ)上轉(zhuǎn)化為圖形,同時(shí),設(shè)置一種二十四進(jìn)位的程序,以改程序?yàn)槟繕?biāo)對(duì)進(jìn)程進(jìn)行編寫,根據(jù)六十進(jìn)位計(jì)數(shù)器的程序編寫參考設(shè)計(jì)條件。同時(shí),對(duì)進(jìn)位的判斷可以通過判斷數(shù)據(jù)的改動(dòng)進(jìn)行,縮減實(shí)踐成本。在設(shè)計(jì)編碼器的電路的時(shí)候,利用動(dòng)態(tài)的編碼可以使得視覺效果更好,即使是現(xiàn)實(shí)同樣的數(shù)碼,也可以同步顯示的目標(biāo),因此耗能可以降到最低,讓設(shè)備的使用壽命延長(zhǎng)。在設(shè)計(jì)的過程中,可以將底層設(shè)計(jì)的模塊看成目標(biāo),利用設(shè)計(jì)圖紙將兩者完美結(jié)合,使得這個(gè)電路的設(shè)計(jì)效果更加自然。

4結(jié)語

EDA技術(shù)在電路設(shè)計(jì)當(dāng)中的應(yīng)用非常廣泛,大范圍的適用已經(jīng)成為一種趨勢(shì),對(duì)其合理開發(fā)可以方便人們的學(xué)習(xí)和工作,顯著提升人們的生活水平,因此,需要加強(qiáng)對(duì)該技術(shù)的研究,讓EDA技術(shù)在電路設(shè)計(jì)之中得到普遍應(yīng)用,讓該技術(shù)的發(fā)展更進(jìn)一步。

參考文獻(xiàn)

[1]沈宗果.EDA技術(shù)在數(shù)字電子電路設(shè)計(jì)中的實(shí)踐應(yīng)用[J].電子制作,2017(07):84-85.

[2]陳惠娟.關(guān)于數(shù)字電子電路設(shè)計(jì)之中EDA技術(shù)的應(yīng)用探究[J].電子制作,2015(23):69-70+74.

[3]陳娟,竺興妹,段倩妮.面向三維集成電路版圖設(shè)計(jì)的EDA插件研究[J].電子器件,2015,38(04):749-753.

[4]奚素霞.基于EDA技術(shù)的數(shù)字電路設(shè)計(jì)[J].重慶科技學(xué)院學(xué)報(bào)(自然科學(xué)版),2009,11(04):124-126+151.

作者:金天星 單位:中國(guó)船舶重工集團(tuán)第七二三研究所六部

精選范文推薦